Abstract

The surface state, electrical, and reliability characteristics of copper (Cu) interconnects after ammonia (NH3) or hydrogen (H2) plasma treatment were investigated in this study. The experimental results show that H2 plasma treatment has excellent Cu oxide removal efficiency, less impact on the formation of Cu hillocks, and less damage on low-dielectric constant (low-k) dielectrics in comparison to NH3 plasma treatment. However, H2 plasma treatment results in a higher leakage current between the Cu lines and shorter electromigration (EM) failure time due to a weaker adhesion strength at the Cu film interface. On the other hand, NH3 plasma treatment without the sufficient treatment time would lead to an increased probability of delamination at the Cu/barrier layer interface since the Cu oxide layer can not be completely removed. As a result, extending NH3 plasma treatment time can efficiently reduce the adhesion failure and enlarge EM resistance as well.

1. Introduction

Owing to the downscaling of devices to the deep sub-micrometer level, the resistance capacitance (RC) delay of interconnects is playing an increasingly important role in determining the performance of an integrated circuit. To reduce this effect, Cu has been used as an interconnect metallization material in deep submicron technology because of its lower resistivity and higher electromigration resistance [13]. However, since Cu is easily oxidized and diffused rapidly into interlayer dielectrics (ILD, SiO2, or low-k dielectrics), a diffusion barrier film (SiN, SiC, or SiCN) is required in Cu metallization systems to prevent Cu diffusion [37].

However, in a real Cu and low-k dielectric dual damascene process, Cu can be oxidized by exposure to air before the diffusion barrier is deposited. Thus the formed Cu oxide layer negatively affects the electrical performance and reliability of devices [8, 9]. Therefore, a chemical reaction to remove this native Cu oxide is required before the barrier layer is deposited to improve the adhesion between the Cu film and the barrier layer. NH3 and H2 plasma treatments are used in current Cu metallization as both generate H species, which can remove the Cu oxide layer from the Cu surface by an oxidation-reduction reaction [10]. Many studies have investigated the effects of NH3 and H2 plasma treatments on EM lifetime [1113]. All such studies have revealed that these plasma treatments improve EM reliability of Cu lines. However, NH3 and H2 plasma treatments have also been reported to have opposite effects [11, 13]. Additionally, the effect of interface conditions on EM reliability is still unclear.

This study investigates the Cu surface state and physical properties after NH3 or H2 plasma treatment. Additionally, electrical results of the pattern wafers with dual-damascene structures were evaluated and compared. Finally, the effect of various plasma treatment conditions on EM reliability performance was studied.

2. Experimental

Blanket Wafer. A film stack of SiN/Cu/TaN/SiO2/Si (substrate) was prepared in a clean room for this study. First, a 200 nm thick thermal SiO2 film was deposited on a blanket Si substrate. Next, a 30 nm-thick TaN layer was deposited by physical vapor deposition (PVD) to improve the adhesion between Cu layer and SiO2 film, followed by PVD Cu layer used as a seed layer with a thickness of 160 nm. A 1.3 μm thick electroplated Cu film was subsequently grown. Chemical mechanical polishing (CMP) was then performed to remove the top portion (0.4 μm-thick) of the Cu layer. Then, the post-CMP clean using citric acid was used to remove the backside Cu contamination. Finally, a 50 nm-thick barrier layer of SiN was deposited in a plasma-enhanced chemical vapor deposition (PECVD) system. Before SiN film deposition, two kinds of plasma treatment (NH3 and H2) were conducted in the same PECVD chamber. The plasma treatment temperature were kept at 350°C, with a rf power of 300 W, pressure of 4.2 Torr, and gas flow of 300 sccm (cubic centimeter per minute at STP).

Elemental analysis was carried out using depth-profile Auger electron spectroscopy (AES) to check the oxygen (O) concentrations between Cu film and the barrier layer (SiN). The Cu oxide removal efficiency by NH3 or H2 plasma treatment was determined by measuring the Cu reflectance related to silicon substrate using ellipsometer. O2 plasma was treated on the post-CMP cleaning Cu wafer to form the Cu oxide layer. Then, NH3 or H2 plasma treatment with various times was performed to evaluate the reflectance change of Cu film. X-ray photoelectron spectroscopy (XPS) analysis was used to measure the bonding structure of the Cu film. The adhesion ability was measured by stud-pull method.

Pattern Wafer. Three-layer metallization was fabricated based on Cu dual-damascene technology. The dielectric material used here was PECVD SiCOH film with the dielectric constant of 2.8. After completing Cu CMP process, the plasma treatment using NH3 or H2 gas and the deposition of SiN barrier layer were subsequently performed and then followed by the dual-damascene dielectric deposition, photo, etch, and Cu processes to complete the next interdielectric layer. The fabricated pattern wafers were inspected using optical microscopy (OM) and scanning electron microscopy (SEM). The leakage current was monitored on a 0.1 m long serpentine/comb structure with a 0.20 μm line-width and line-to-line space. EM testing was carried out on via-line contact configuration, with a length of 250 μm and a width of 0.20 μm. The stress temperature and current density were 350°C and 1.5 MA/cm2, respectively. The failure criterion was a 10% relative increase in resistance.

3. Results and Discussion

AES depth-profile analyses were performed to determine the concentration of oxygen at the interface between the Cu film and the barrier layer. Figures 1(a) and 1(b) present the elemental concentrations of Si, N, O, and Cu in the multilayer structures without plasma treatment and with 30 s NH3 plasma treatment. The interface between the Cu film and the barrier layer in the untreated sample yielded a significant oxygen peak (10.8% atomic concentration). Plasma treatment clearly lowered the oxygen peak as shown in Figure 1(b). Figure 2 presents the dependence of oxygen atomic concentration on the treatment time for H2 and NH3 plasma treatments. H2 plasma treatment for 5 s sharply reduced the oxygen atomic concentration to 2%. Under the NH3 plasma treatment condition, the oxygen atomic concentration decreases as the treatment time increases, reaching a saturated value of 2% after 30 s of treatment. This result reveals that H2 plasma treatment yields a higher Cu oxide removal efficiency than NH3 plasma treatment within the initial period of plasma treatment. A possible cause is that more hydrogen species are generated in the H2 plasma environment because the dissociation energy of H–H bonds is lower. At longer plasma treatment times (>30 s), NH3 and H2 plasma treatments exhibit a similar Cu oxide removal performance.

To evaluate Cu oxide removal efficiency rapidly, we developed a new method by measuring the Cu reflectance at a wavelength of 480 nm. As the Cu surface was oxidized, the Cu reflectance decreased. Accordingly, the percentage change in the Cu reflectance () was calculated by treating the oxidized Cu film with H2 or NH3 gas plasma, according to the following expression: where CuRi, CuRO, and CuRCMP denote the Cu reflectance after H2 or NH3 gas plasma treatment, O2 gas treatment, and post-CMP cleaning, respectively. This percentage change in Cu reflectance is an index of Cu oxide removal efficiency. A larger value means a higher Cu oxide removal efficiency. Figure 3 compares the effectiveness of H2 and NH3 plasma treatments with various durations. The result is similar to that obtained from AES analyses. For a short treatment duration (<20 s), H2 plasma treatment causes higher percentage change in Cu reflectance as compared to NH3 plasma treatment, reflecting a higher Cu oxide removal efficiency. Additionally, as the NH3 treatment time increases to 30 s, the Cu oxide removal efficiency reaches a value similar to that of H2 plasma treatment. However, as the NH3 treatment time is further increased beyond 40 s, the percentage change in Cu reflectance decreases. The results of XPS analysis, shown in Figure 4, suggest that this decline is a result of the formation of Cu–N bonds in a NH3 plasma environment. No Cu–N bond was detected in the H2 treated and untreated samples.

Figure 5 plots the adhesion strength between the Cu film and the barrier layer under various treatment conditions obtained from the blanket wafer. Five points were measured under each condition to ensure reliability. As shown, the tested sample with NH3 plasma treatment for a shorter time (10 s) exhibits weaker adhesion than that with H2 plasma treatment. The reduced adhesion in 10 s NH3 plasma treated sample is probably due to the remaining Cu oxide at the Cu surface. Increasing the treatment time to 30 s improves the adhesion. Moreover, the tested sample with 30 s NH3 treatment had a higher adhesion strength than the H2-treated sample because the former treatment forms Cu–N chemical bonds [14, 15]. The adhesion strength between the Cu film and the barrier layer in H2-treated samples did not vary significantly with the treatment time.

Following the CMP process, the pattern wafers were conducted with the various plasma treatment conditions before the barrier film was deposited. After completing three-layer metallization, these pattern wafers were thermal annealed for 1 h at 425°C with seven cycles. An OM inspection was performed to check the pattern wafers for 25 sites. The NH3 plasma treatment with short duration (<20 s) produced some bubble-like defects on the Cu lines, as presented in Figure 6(a). These bubble-like defects were examined by SEM, revealing that the delamination occurred at the interface between the Cu layer and the barrier layer, as displayed in Figure 6(b). Figure 7 summarizes the probability of formation of bubble-like defects under NH3 and H2 plasma treatments as a function of the treatment time. The probability of formation of bubble-like defects is zero independently of the duration of H2 plasma treatment. However, the probability is strongly correlated with the duration of NH3 treatment. The probabilities of formation of bubble-like defects in the treated samples with NH3 treatment for 5 s and 30 s were 80 and 36%, respectively, decreasing to 0% as the treatment time exceeded 20 s. Interestingly, the trend in the probability of bubble-like defect formation with treatment time is consistent with the removal rate of Cu oxide layer from the Cu surface. Based on the above results, the sufficient removal of Cu oxide layer from the Cu surface is critical in the fabrication of high-quality Cu interconnects.

Hillocks are easily formed on a Cu surface in a thermal-plasma environment and this formation must be minimized. A previous study [16] pointed out that the formation of Cu hillocks is related to the thermal budget. This study also demonstrates that the density of Cu hillocks increases with treatment time. However, different plasma gases produce different amounts of Cu hillocks. For a fixed treatment time of 30 s, the density of Cu hillocks in the H2-treated sample (Figure 8(a)) is much lower than that in the NH3-treated sample (Figure 8(b)), suggesting that the reactant gas also contributes to the formation of Cu hillocks. The reduction of Cu hillock formation by H2 gas involves two mechanisms. In the first, H2 gas donates more reactive H species, which chemically react with Cu lines. NH3 gas provides more physical sputtering due to N radicals. The other mechanism is that H2 gas has a better thermal conductive coefficient, resulting in a better heat transfer between the Cu surface and the H2 molecules.

In a standard Cu dual-damascene architecture, not only the Cu surface but also the neighboring low-k ILD are exposed to the plasma environment. Therefore, the effect of the plasma treatment on the ILD must be taken into consideration because the dielectric constant of the low-k dielectric is the most essential factor in determining the RC delay time of the interconnects. Figure 9 plots the dependence of the plasma treatment conditions on the dielectric constant of low-k dielectrics. NH3 plasma treatment increases the dielectric constant of low-k dielectrics more than H2 plasma treatment. The increase in the dielectric constant of low-k dielectrics is caused by the nitridation process in the top part of the ILD by NH3 plasma treatment. Additionally, the dielectric constant of low-k dielectrics increases with plasma treatment time, saturating as the treatment time exceeds 20 s because plasma bombardment reduces the carbon atomic concentration in the low-k dielectrics, but the increase is less than 10% for all treatment conditions.

Figure 10 presents the electrical results of sheet resistance of Cu lines and leakage current between Cu lines under various treatment conditions. The presented results were obtained from pattern wafers with three-layer metallization. As shown, Cu sheet resistance is independent of the treatment gas and the treatment time for both narrow and wide Cu lines, revealing that plasma treatment did not significantly change the granularity or constitution of the Cu lines. On the contrary, the leakage current between the Cu lines was sensitive to the plasma treatment conditions. Under NH3 plasma treatment, a lower leakage current was detected in comparison to H2 plasma treatment. The main current leakage path is along the interface between the Cu layer and the barrier layer [17]. Therefore, the adhesion at the interface between the Cu layer and the barrier layer becomes stronger under NH3 plasma treatment because of the formation of Cu–N bonds. The increase in adhesion at the Cu interface can effectively block the leakage current path, reducing the leakage current [18]. H2 plasma treatment time has no significant impact on the leakage current. In contrast, the leakage current is slightly reduced as the NH3 plasma treatment time increased from 10 s to 30 s.

Figure 11 presents the lognormal plot of EM failure times of via-line test structures under various plasma treatment conditions. The Cu test structure without any plasma treatment is also displayed as a reference. Plasma treatment of the Cu surface clearly increases EM failure times, revealing that the interfacial diffusion dominated the EM failure mechanism [19]. Furthermore, NH3 plasma treatment with insufficient time (<20 s) yielded shorter failure times in comparison to H2 treatment. This result is consistent with that of Vairagar et al. [11], because not all of the native Cu oxide layer is removed by NH3 plasma treatment for insufficient time. The remaining Cu oxide layer at the Cu interface reduces the adhesion strength between the Cu line and the barrier layer under electrical stress, weakening the Cu interface, facilitating the diffusion of Cu ions along the surface, accelerating the formation of voids, and thus, shortening EM failure time. In contrast, when the NH3 treatment time is increased above 20 s, the failure times are longer than those with H2 treatment since the Cu oxide layer is sufficiently removed and Cu–N bonds form, reinforcing the adhesion strength of Cu lines with the barrier layer.

4. Conclusions

NH3 and H2 plasma treatments on Cu interconnects are used to remove the Cu oxide layer. This study investigated the surface state, electrical, and reliability characteristics of Cu interconnects under these two plasma treatments. H2 plasma treatment yields an excellent removal rate of the Cu oxide layer and has less impact on the formation of Cu hillocks and the low-k dielectric layer in comparison to NH3 plasma treatment. However, it created no new detectable bonds with Cu layer, which would have enhanced the adhesion strength between the Cu layer and the barrier layer, resulting in higher leakage currents between Cu lines and shorter EM failure times. In NH3 plasma treatment, an insufficient treatment time leads to an increased probability of delamination at the Cu/barrier layer interface. Hence, extending NH3 plasma treatment time can efficiently reduce the adhesion failures and enlarge EM resistance.

Acknowledgments

The authors would like to thank the National Science Council of the Republic of China, Taiwan, for financially supporting this research under Contract no. NSC 102-2221-E-260-009. Ted Knoy is appreciated for his editorial assistance.