Research Article

Design of a Mathematical Unit in FPGA for the Implementation of the Control of a Magnetic Levitation System

Table 3

Utilization of the mathematical unit blocks.

FunctionUtilization
Sel.SlicesLUTsTEGs

Cosine011%8%6 121
Sine111%8%6 282
Square root21%1%50
Tangent38%6%5 230
Arc cosine43%3%2 799
Arc sine53%3%2 807
Arc tangent63%3%2 952
Exponential75%4%3 956
Radians84%3%3 303
Hyperbolic tangent92%1%1 571
Hyperbolic cosine105%4%4 375
Hyperbolic sine115%4%4 391
Natural log121%1%80
Inverse131%1%1 345
Log base 10141%1%671
Degrees153%3%3 082