Research Article

NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution

Figure 1

(a) In-order execution of instructions resulting in stalls on cache misses. (b) Overlapping memory requests in Runahead execution.
915178.fig.001