Research Article

NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution

Figure 13

Speedup gained by Runahead execution with two and 32 outstanding requests, with memory latency of 26 and 100 cycles.
915178.fig.0013