Research Article

NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution

Figure 18

Average number of secondary misses (misses only to different cache blocks) observed per invocation of Runahead executions in a 1-way processor.
915178.fig.0018