Research Article

NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution

Figure 19

IPC comparison of normal, Runahead and Runahead with worst case scenario for write-back stalls.
915178.fig.0019