Research Article

NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution

Figure 9

Clock frequency comparison of NCOR and of a four-entry MSHR-based cache over various cache capacities.
915178.fig.009