Abstract

The application of silicon nanowire (SiNW) as a sensing nanomaterial for detection of biological and chemical species has gained attention due to its unique properties. In this review, a short description is also demonstrated on the synthesis techniques of SiNWs and recent progress on sensor development based on electrochemical methods, fluorescence field-effect transistors (FET), and surface-enhanced Raman scattering (SERS) spectroscopy. We also discussed the challenges of SiNW-based sensors in the future.

1. Introduction

In the last decades, biological and chemical sensor technologies have received a tremendous interest among research areas in various applications due to their efficiency in monitoring and regulating many areas such as toxicology testing [1, 2], food industry [3, 4], medical diagnostics [57], environmental monitoring [8, 9], and drug industries [10, 11]. Biosensors or chemical sensors can be defined as analytical devices that incorporated with sensing materials and molecular recognition elements (enzyme, protein, antibody, nucleic acid, hormone, chemical compounds, etc.) that get integrated within transducers [1216]. The basic principle of sensor detection is based on interaction between the recognition molecule (biological or chemical molecule) and its target, and the change of the biochemical reaction would be catalyzed by the sensing material as well as translated into a quantifiable signal via the transducer, whether in the form of electrochemical [17, 18], electrical [19], optical [20], piezoelectric [21], thermometric [22], and so forth. The important criterion in the construction of sensors is the performance, in terms of sensitivity, that is able to achieve low detection limits. Hence, the choice of the sensing material is the fundamental prerequisite step in the development of ultrasensitive sensors [23, 24].

With the fast growth and development of advanced nanotechnology, many sensing nanomaterials with unique properties, desired size, and chemical compositions have been fabricated to be incorporated within the transducer. One of them is the application of one-dimensional (1D) nanostructures (nanotubes, nanowires, nanorods, nanobelts and heteronanowires) within the transducers in previous studies that can enhance the sensor performance, for example, TiO2 nanowires [25], carbon nanotubes [26], CuS nanowires [27], NiO-Au nanobelts [28], CuS nanotubes [27], and graphene oxide-modified vanadium nanoribbons [29].

Silicon nanowire is one of the 1D nanostructures and has emerged as the promising sensing nanomaterial upon its unique mechanical, electronical, and optical properties [3034]. The main reason why SiNWs have attracted attention in the development of ultrasensitive sensors is due to their high surface to volume ratios [35, 36] thus greatly enhancing the detection limit to fM concentrations and giving high sensitivity. In addition, the dimension of SiNW is in the range of 1–100 nm, hence making it very comparable and compatible to the dimensional scale of biological and chemical species [37, 38]. Having the smallest dimension, SiNWs exhibited good electron transfer in detection because the accumulation of charge in SiNWs directly occurs within the bulk of material resulting in fast response of detection. In this review, we briefly elaborate on the synthesis of silicon nanowires and the application of chemical and biological sensors based on SiNWs.

2. SiNWs Synthesis Techniques

In general, two techniques have been developed for fabrication of SiNWs such as bottom-up approach (Vapour liquid solid (VLS), oxide assisted growth (OAG), and metal assisted chemical etching) and top-down approach. Bottom-up method is a growth or synthesized technique of the SiNWs from bulk silicon wafer either metal catalyzed-assisted or metal catalyzed-free. Meanwhile, top-down approach starts from bulk silicon wafer and scales down to the desired size and shape of SiNWs using a lithographic process.

2.1. Vapour Liquid Solid (VLS)

Wagner and Ellis have reported for the first time silicon wire synthesis in vapor phase condition using silicon substrate coated with liquid Au droplet [39]. In VLS, metal-catalyzed (Au, Fe, Pt, Al, etc.) would be deposited on the silicon wafer first and then the SiNWs growth is enhanced either by chemical vapor deposition (CVD) technique [4042] or by laser ablation methods [43]. Principally, Si wafer coated metal catalysts are placed at the center of the horizontal tube furnace and introduced with a Si gas source such as silane (SiH4) or tetrachlorosilane (SiCl4) and passed over metal catalyst deposited on Si wafer in the chamber at above eutectic temperature [44]. The silane (SiH4) gas would be decomposed into silicon vapor and diffuses through metal catalyst forming metal-silicon alloy droplets. As silicon diffuses through metal nanoparticle catalyst resulting in a supersaturate condition the silicon will precipitate out from droplets of metal-Si forming silicon nanowires [45]. With establishing a uniform distribution of metal nanoparticles catalyzed on the substrate, we can possibly manage to control the diameter and growth alignment of SiNWs (Figure 1).

2.2. Oxide Assisted Growth (OAG) via Thermal Evaporation

In recent years, many researchers have successfully fabricated SiNWs using bottom-up approach called oxide assisted growth (OAG) via thermal evaporation due to its advantages in producing a large quantity of SiNWs [4649]. In this OAG method, the growth of SiNWs was greatly enhanced using SiO as starting material to induce the nucleation and the growth of SiNWs without assisted catalyzed metal thus producing high purities SiNWs, free of metal contamination [50]. The fabrication of SiNWs using OAG method has been described in detail by the group of Shao et al. [50]. In their experiment, the alumina boat containing the mixture of SiO powder (10 g) and Si powder (0.05 g) was placed at the center of an alumina tube, inside horizontal tube furnace. With certain pressure, Argon as a carrier gas was introduced and the furnace heated to 1250–1300°C for 10 hours. SiNWs with diameter of 85 nm were collected around the alumina tube surface (Figure 2). One of the characteristics of SiNWs produced by OAG method is the presence of oxide layer at the outer surface of SiNWs which is chemically inert. This oxide layer was usually removed by treatment of hydrofluoric acid (HF) to improve the electrical and optical properties of SiNWs. According to Zhang et al. [51], the advantage of this method is capability to produce different morphologies in chains, rods, wires, ribbons and coaxial structures and the use of dangerous precursor gases such as silane (SiH4) or tetrachlorosilane (SiCl4) can be avoided. The main similarity between VLS and OAG methods is in the final SiNWs grown product in the form of suspended nanowires [52].

2.3. Metal Assisted Chemical Etching

Metal assisted chemical etching was reported as a low-cost and simple technique for SiNWs array fabrication [53]. This method involves two main steps which are electroless metal (silver, nickel, platinum, gold) deposition on silicon wafer followed by chemical etching in Fluoride-ion-based solution [5456]. Simultaneous reaction of electroless deposition and chemical etching can be seen in the work of Brahiti et al. [57], when they soaked cleaned Si wafer in a solution containing NH4HF2 and AgNO3. In this process, Ag+ ion would attract electrons from the silicon substrate (1) that resulted from deposition of Ag nanoparticle on silicon surface as Si electronegativity is higher than silicon [58]. Consider

Meanwhile, silicon beneath the Ag nanoparticle is oxidized and then etched by HF etchant causing the holes formation. The remaining of the Ag nanoparticles would sink into holes and longitudinal and lateral dissolution of silicon triggering the formation of SiNWs arrays [59] (Figure 3). According to Zhang et al. [60], different morphologies of SiNWs arrays could be obtained with the manipulation parameters of etching conditions (temperature, deposition time, and concentration etchant), surface orientation, and doping level.

2.4. Top-Down Approach

Generally, fabrication of SiNWs via a top-down approach which employed the application of advanced nanolithography tools on silicon-on insulator (SOI) is mostly compatible with conversional complementary metal oxide-semiconductor (CMOS) technology that typically consist of deposition, etching and patterning steps. Basically, the SiNWs fabrication started from the bulk material and scaled down into a single SiNW or SiNW array that can be formed with the help of nanolithography techniques such as electron beam lithography (EBL) [61], lithography patterned nanowires electrodeposition, nanoimprint lithography [62], and photolithography. For example, Park et al. [61] applied a top-down approach using electron beam lithography and reactive ion etching on SOI wafer producing high control of the geometry and alignment of SiNWs as well as showing good electrical properties. High arrays of SiNWs with width down to 20 nm and height of 60 nm have been demonstrated by the group of Vu et al. [62] which combined the attributes of the nanoimprint lithography and TMAH wet anisotropic etching. Pham et al. [63] utilized the DEA technology and photolithography technique to realize a single SiNW with diameter below 100 nm and height of 1 mm (Figure 4). SiNW arrays which consist of 250 nanowires with 150 nm width, 20 μm length, and equal space size of approximately 3.2 nm have been successfully fabricated by the group of Kulkarni et al. [64] using top-down approach. In their work, they approached 4 steps of photolithography techniques, deep reactive ion etching (DRIE), TMAH wet anisotropic etching, and thermal oxidation for development of SiNW FET sensor.

Tong et al. [65] presented a new low-cost, top-down nanowire fabrication technology without using nanolithography. This technique is suitable for any conventional microtechnology clean room facility. This novel wafer-scale technology process uses a combination of angled thin-film deposition and etching of a metal layer in a precisely defined cavity with a single micrometer-scale photolithography step. The key factor to provide an improved dimensional control compared to other methods is a precisely defined cavity that permits controlled removal of the metal layer with an angled wafer level ion beam that resembles a nanostencil structure patterned directly on the wafer surface, which minimizes lateral spread of the deposited metal.

Chen et al. [66] presented a new simple Si-NWs fabrication technology that requires only two microlithography steps and conventional microfabrication processes on silicon-on-insulator wafers to form long (ranging from a few micrometers up to 100 μm) Si-NWs with scalable lateral dimensions ranging from 200 nm down to 10–20 nm with near-perfect crystalline cross sections, atomically smooth surfaces, and wafer-scale yields greater than 90% using a novel size reduction method where nanowires can be controllably scaled to any dimension and doping concentration, independent of large contacting regions, from a continuous layer of crystalline silicon.

In some circumstances, instead of the following “bottom-up synthesis first, assembly and top-down fabrication next,” it is desirable to grow nanowires precisely and rationally in a predetermined device architecture [67]. Direct integration of growth into fabrication will markedly simplify procedures and avoid deterioration of nanowires in some micro-/nanofabrication processes. In the study reported by He et al. [68], Si nanowires have been grown laterally in microtrenches that were prefabricated on silicon-on-insulator wafers, which demonstrated that nanowire growth and device fabrication can be achieved simultaneously. Lateral bridging growth was first demonstrated for GaAs nanowires [69] and recently for Si nanowires [70]. However, well controlled growth and device operation were not achieved. He et al. demonstrated excellent epitaxial growth of bridging Si nanowires and effective control of diameters, lengths, and densities [68]. Table 1 shows a brief description on the SiNW synthesis as reported above.

3. Applications of SiNW-Based Sensor for Chemical and Biological Molecule Detection

In this section, we demonstrate the latest applications of SiNW based sensor using different detection methods including surface-enhanced Raman scattering (SERS), fluorescence, electrochemical methods, and field-effect transistors (FET) that have been fabricated.

3.1. Surface-Enhanced Raman Scattering (SERS) Spectroscopy Sensor Utilized SiNWs

Surface-enhanced Raman scattering spectroscopy based on a metal nanostructure has gained attention due to the enhancement of Raman signal that reached 1012–1015 compared to normal Raman signals. In recent years, most studies reported the utilization of SiNWs functionalized Ag nanoparticles to enhance SERS detection. Silver coated SiNW arrays are described as ultrasensitive SERS sensor for Amoxicillin (an antibiotic medicine that always exists in milk and dairy product) and calcium dipicolinate (CaDPA), marker compound of B. anthracis spore detection [71]. The author explained that silver coated SiNW arrays as SERS sensor are suitable to detect residual amoxicillin in the milk since they are capable of detecting the concentration down to 10−9 M. The developed sensor also could achieve detection limit of 4 × 10−6 M for calcium dipicolinate which is 15 times lower than an infectious dose of spore (6 × 10−5 M) suggesting that it is extremely suitable for detecting B. anthracis spore. The authors further explored the application of SiNW arrays coated with Ag nanoparticle as SERS substrate for protein and immunoglobulin detection [72]. The results showed that Raman signals of 50 ng mouse immunoglobulin G (migG) and 50 ng goat anti-mouse immunoglobulin G (gamIgG) were effectively enhanced using SiNWs-AgNPs in different SERS substrates (silicon(III) wafer, SiNWs arrays, and Ag coated silicon wafer). Interestingly, when the concentration of immunoreagents (migG and gamIgG) was down to 10 ng, it produced weak Raman signals but in the presence of the same concentrations of migG-gamIgG complex the Raman signal is strong. This may be due to the fact that the immune reaction between migG and gamIgG changed the conformation structure in terms of amino acid residue, functional group, and orientation bonds thus displaying different Raman signals. The detection limit of 4 ng immunocomplex is obtained using SiNWs-AgNPs as SERS substrate. Zhang et al. [72] concluded that each of the AgNPs that were distributed on the surface of the SiNWs produced the own electromagnetic wave and SiNWs played a role to transfer, couple, and resonate the entire surface of AgNPs/SiNWs which afforded a strong Raman signal.

Study of Shao et al. [50] also demonstrated good results for achieving high sensitivity for SERS sensor based on silicon nanowires decorated Ag nanoparticles approach to achieve detection limit of 25 μL of 1 × 10−16 M, 1 × 10−16 M, 1 × 10−14 M, and 1 × 10−8 mg/mL for Rhodamine, crystal violet, nicotine in methanol, and calf thymus DNA, respectively. They also established inorganic ion SERS sensor using the same SiNWs-AgNPs nanomaterial which allowed detection limit of 1 × 10−9 M. Furthermore, the group of Jiang et al. [73] have fabricated SiNW decorated AgNPs via metal assisted chemical etching technique based sandwich structural DNA SERS sensor for multiplex DNA detection. In their studies, they demonstrated the immobilization of thiolated single-stranded DNA probe functionalized with AgNPs via Ag-S bonding and followed by hybridization with the target reporter probe labeled with Rhodamine 6G before SERS detection (Figure 5). This remarkable strategy showed high reproducibility and specifically for DNA detection where this SERS sensor is capable of discriminating single base mismatched DNA at lower concentrations of 1 pM.

Han et al. [74] introduced the optimized single SiNWs-AgNPs for SERS detection of pesticide residues (carbaryl) on cucumber surface which was featuring the advantages in terms of simplicity, flexibility, high resolution, in situ detection, fast response (within one second), and enhanced attachment of sensor on rough surface of probe. The authors also studied the detection of E. coli-based SERS sensor by assembling the AgNPs-SiNWs on the commercial filter as water contaminated with E. coli was filtered first before characterization by Raman spectroscopy (Figures 6(a) and 6(b)).

3.2. Fluorescence’s Sensor Utilized SiNWs

Su et al. [75] recently developed novel AuNP-SiNW-based molecular beacons (MBs) for high-sensitivity multiplex DNA detection (Figure 7). Interestingly, the authors found that AuNPs-SiNWs based MBs showed robust stability in wide salt concentrations (0.01–0.1 M) and thermal stability (10°C–80°C). AuNPs-MBs gradually aggregated due to salt induced reduction of electrostatic between AuNPs at the high concentration of salt [76]. In principle, both ends through the stem loop structured oligonucleotide were modified with organic dyes, carboxyfluorescein and thiol group, assembled at AuNPs/SiNWs via Au-S bonds. Since the position of carboxyfluoresceine is close proximity with AuNPs-SiNWs in terms of stem loop conformation structure, leading feeble intensity of fluorescence. When DNA hybridization happened, the stem loop of MBs underwent conformation changes resulting in spatial separation of the carboxyfluorescein and AuNPs-SiNWs, thus enhancing the fluorescence intensity. The study found that when the concentration of target DNA increased from 50 pM to 10 nM, the fluorescence intensity was significantly enhanced. The authors concluded that AuNPs-SiNWs based on MBs are able to detect DNA target at low concentrations down to pM level and also show high selectivity in the presence of noncomplementary DNA and single base mismatch.

There is another research by Maxwell et al. [77], who designed a simple method of fluorescence detection for DNA hybridization events through fabrication of SiNW network modified DNA probe. The complementary target DNA labeled with a fluorescence dye, cyanine (Cy3), would hybridize with SiNW networks and detected using Olympus BX41M microscope. The authors made a comparison of three different regions of the sample (DNA-grafted SiNWsDNA-grafted Si3N4 surface, Si3N4 surface) and as expected the SiNW networks enhanced the fluorescence signal. It was found that the optical sensor has high selectivity as it has the lower fluorescence signal with no complementary DNA due to the absence of Cy3 labeled target DNA, which is more than 30 lower than complementary DNA.

Another application of SiNWs has been reported by Han et al. [78] for fluorescence protein immunosensor development. The authors reported the fabrication of vertically-aligned SiNW arrays (8 μm in height and 150 μM in diameter) via electroless etching (AEE) process and protein were covalently immobilized onto (aminopropyltriethoxysilane) APTES modified SiNWs. Due to the high aspect ratio of SiNWs generated high surface of SiNWs that enhanced the immobilization of loaded BSA protein, which is approximately 14 times (57.33 ± 4.76 μg/cm2) more than planar silicon substrates (4.10 ± 4.76 μg/cm2). Based on the positive result of BSA immobilization using modified SiNWs-BSA, the authors continued to construct two types of immunosensor assays between IgG and FITC-anti-Ig-G (Fluorescein isocyanate) and IgM and Cys3-anti IgM. Their finding demonstrated that fluorescence intensity as the result of the binding of both anti-Ig G and anti-Ig M was greatly enhanced using SiNWs compared with planar substrates (Figure 8).

New type of optical sensor based on SiNWs for Cu(II) detection, an important element for hematopoiesis, metabolism, growth, and immune system, was constructed by the group of Mu et al. [79]. Here, the authors modified the surface of SiNWs via reaction of the outer hydroxyl group with silanol group of fluorescence ligand, N-(quinoline-8-yl)-2(3-triethoxysilyl-propylamino)-acetamide (QIOEt) produced highly sensitive for Cu(II) detection down to 10−8 M, higher than unmodified with QIOEt. The presence of other metal ions such as mercury, zinc, cadmium, ferrum, cobalt, and plumbum in this study did not have significant interference effect on the selectivity of an optical sensor based on QIEOT-SiNWs. Miao et al. [80] reported the application of SiNWs in the development of fluorescence sensor for detection of nitride oxide (NO) from liver extract. It was found that the modified SiNWs fluorescence sensor (MsiNWs) showed a rapid fluorescence response towards NO in a few seconds and was stable for days at room temperature. Besides showing high stability, rapid responses and high selectivity in the presence of reactive species, including O2, NO2−, NO3−, H2O2, O2−, OH, ClO, and Fe2+, were also achieved. Interestingly, the fluorescence images of single MSiNW before and after reacting with NO showed a fine spatial resolution when it was combined with microscopy techniques. In the presence of metal nanoparticle/SiNWs, nanomaterial showed a larger surface-enhanced fluorescence (SEF) for Ln3+, Pr3+, Nd3+, Ho3+, and Er3+ [79, 80].

In the study of Zhuo et al. [81], the authors explained that the application of Au/SiNWs nanomaterial enhanced the fluorescence intensity of Ln3+, which was about 169-fold, 67-fold, and 58-fold for Nd3+, Ho3+, and Er3+, respectively. Similar results were obtained when using different metal nanoparticles such as silver and copper modified SiNWs which were approximately twofold of SEF for Ln3+ ion compared with unsupported silver and copper nanoparticles [82]. This is because metal nanoparticle deposited firmly on the surface of SiNWs without aggregation, and the fields overlapped thus resulted in an optimum for enhancement of fluorescence’s signals and caused a great SEF effect. Meanwhile, unsupported metal nanoparticle without SiNWs was easily aggregated due to the high surface energy of the small nanoparticle and the large particles were expected to meet stronger steric hindrances in the coupling.

3.3. Electrochemical Sensor Utilized SiNWs

The basic principle of electrochemical detection is based on redox reaction as a result of chemical reaction between immobilized biomolecule or chemical species on working electrode and target analyte which finally produces measurable electrical current [83]. The novel nonenzymatic method for detection of hydrogen peroxide (H2O2) with high sensitivity and selectivity based on electrochemical method using nanostructure of Ni (OH)2-SiNWs was reported by Yan et al. [84]. In their study, the SiNW array was prepared using a chemical etching process followed by deposition of nickel film through electroless technique. The combination of Ni(OH)2 and SiNWs as working electrode exhibited high catalytic effect for (H2O2) detection, which achieved sensitivity of 3.31 mA·mM−1·cm−1 with detection limit of 3.2 μM and high stability. Based on previous studies, there is a great interest in the application of SiNWs functionalized with metal nanoparticle due to enhancement of electron transfer of enzyme activity and electrical conductivity.

Su et al. [47] have fabricated SiNWs via oxide assisted growth technique and treated with 5% HF to produce H-terminated layer. This H-terminated layer acts as a strong reducing agent which can reduce 1% HAuCl4 to AuNPs on the surface of SiNWs. The authors demonstrated that SiNWs-AuNPs modified carbon electrode exhibits high sensitivity compared with the unmodified carbon electrode (Figure 9). It was clearly shown that SiNWs enable to increase the electrical conductivity of modified electrode and facilitate electron transfer of acetylcholinesterase (AChE) for organophosphate pesticide detection. The authors found that the SiNWs modified electrode showed rapid response in the detection of acetylcholine in the range of 1.0 μM–1.0 mM and was highly sensitive down to 8 ng L−1.

According to Su et al. [85], the electron transfer got greatly enhanced when the surfaces of SiNWs were coated with Au nanoparticles for detection of dopamine (DA), a neurotransmitter in brain. The author found that SiNWs electrode produced a weak peak current. Meanwhile, the modified AuNPs/SiNWs electrode showed a pair of well-defined quasireversible peaks at 0.23 V and 0.09 V for oxidation and reduction potentials, respectively (Figure 10). However, the application of SiNW arrays functionalized with Au nanoparticle enhanced the sensitivity of dopamine down to 40 nM, which was lower than Au/AuNP-modified electrode (220 nM). The enrichment of dopamine on the surface of SiNWs was assisted with the negative charge on SiNWs/AuNPs electrode via electrostatic interaction. The authors also reported the detection of ascorbic acid by cyclic voltammetry (CV) method using the same AuNPs/SiNWs electrode with a detection limit of 500 nM. The success of SiNWs/AuNPs electrode is due to the advantages of SiNWs/AuNPs electrode in terms of increasing mass transport and enhancing electron transfer. Therefore SiNWs/AuNPs electrode can be one of the vast applicable electrodes for electrochemical detection in the future.

Moreover, SiNWs/AuNPs based biosensor for glutathione (GSH) was fabricated and showed a fast response to the GSH concentration in the range of 0.33–2.97 μM [86]. There are also some studies using single SiNWs strands (height in 2 mm and diameter of 35 mm) decorated with Au nanoparticles as working electrode for Bovine Serum Albumin (BSA) detection, which achieved detection as low as 0.2 μM [87]. Kwon et al. [88] who fabricated the vertical SiNW arrays decorated with AuNPs using self-assembled monolayer (SAM) of APTES demonstrated detection of BSA protein in the range of 1.0–7.0 μM. Moreover, the nafion/Gox/SiNWs/AuNPs/GCE was fabricated by the research group of Su et al. [89] to enhance biocatalytic activity of glucose oxidase (Gox) for high sensitivity glucose detection, which led to detection limit of 50 μM, enough to monitor blood-glucose levels typically ranging in 4.4–6.6 mM. Since the enzyme based biosensor exposed the loss of activity of enzyme, which is affected by temperature, pH, humidity, and toxic chemicals [90], there was also an attempt of development of glucose sensor based Pd-Ni/SiNWs without immobilization with other mediators or enzymes [91]. The authors investigated the electrocatalytic behavior of Pd-Ni/SiNWs electrode via CV method in 0.1 M KOH containing 10 mM glucose and found that two well oxidation peaks were observed at the potential of −0.27 V and −0.07 due to glucose oxidation process. The developed Pd-Ni/SiNWs electrode was tested with different concentrations of glucose and achieved sensitivity of 190.7 μA·mM−1 with detection limit of 2.88 μM.

3.4. Field-Effect Transistors (FET) Sensor Utilized SiNWs

SiNWs-FET sensor consists of three electrodes, which are source, drain, and gate electrode, and its work is based on conductive change of the carrier on the surface of SiNWs either accumulation or depletion charge. When negative charged molecules bind on n-type SiNW surface it results in accumulation of the negative carriers thus increasing the resistance reading and vice versa if using p-type SiNWs [92]. Gao et al. [93] have developed high performance of label free and direct time for DNA detection using SiNWs-FET sensor using top-down approach. In this work, they managed to improve the sensitivity of SiNWs-FET sensor by optimization of probe concentration, buffer ionic strength, and the gate voltage. SiNW surface was first modified by the amine group of APTES and functionalized with carboxyl (COOH–) group modified target DNA via N-hydroxysuccinimide (NHS) and 1 ethyl 3-(3-dimethylaminopropyl)carbodiimide (EDC). Since DNA probe possesses a negative charge due to the phosphate group that binds on SiNW surfaces via SAM layer of amine group and carboxyl group as described before, leading to an increase of resistance and same observation obtained when hybridization occurred. The authors found that the optimized SiNWs-FET sensor presented detection limit of 0.1 fM for DNA target (Figure 11). Moreover, the current change displayed around 40% when DNA probe hybridized with full complementary target DNA and only 20% and 5% upon the introduction of single and second base mismatched DNA.

Chen et al. [94] studied the utilization of electrically neutral ethylated DNA (E-DNA) and general DNA as a probe target on the performance of SiNWs-FET sensor. The authors found that E-DNA probe helps to enhance sensitivity of hybridization signal in terms of resistance change, which was 23.3% higher than general DNA. Surface plasma resonance (SPR) response also proved that the amount of complementary DNA hybridized with E-DNA is higher than general probe DNA. This can be explained such that E-DNA used in their work does not have an anionic backbone of the phosphate group. Therefore, there is less electrostatic repulsion between E-DNA and c-DNA than DNA and cDNA. Zhang et al. [95] also utilized neutral charge DNA analogue, peptide nucleic acid (PNA), as probe immobilization on the surface of SiNWs-FET sensor which was able to detect miRNA concentration as low as 1 fM. Furthermore, SiNWs-FET sensor based PNA-miRNA demonstrated high sequence specific of full complementary, single base mismatched miRNA and noncomplemetary miRNA.

A novel detection method for DNA-protein interaction related to breast cancer, estrogen receptor alpha (ERα) reported by Zhang et al. [96] using SiNWs-FET sensor. In their work, the amines group modified ERα (wild type, mutant, and noncomplementary) probe was functionalized on SiNW surface via vinyl terminated of self-assembly monolayer (SAM) process and showed high sequence specificity of ERα detection, which produced 33% of conductance change upon the interaction of wild type of ERE and ERα. The results showed a smaller conductance change of 8.4% for detection of ERα using mutant ERE and a negligible charge also observed for bonding to the scrambled DNA. The authors explored the detection of ERα in a crude extract from breast cancer cells and found the change of conductance around 23.4% and 5.6% when ERα bound to the wild-type ERE and negative ERE, respectively. They concluded that DNA protein functionalized SiNWs-FET sensor produced 10.3% of conductance with detection limits of 10 fM for ERα.

C-reactive protein (CRP) and prostate-specific antigen (PSA) were simultaneously detected based on antigen-antibody interaction using SiNW array chip FET sensor [97]. The authors utilized sol-gel approach to immobilize anti-CRP and anti-PSA on SiNW arrays instead of using chemical modification to avoid loss of protein activity and maintain conformation of antibody. It was found that integration of sol-gel method exhibited high sensitivity with a low amount of serum for simultaneous detection of CRP and PSA in the range of 0.12–10 ng/mL and 0.18–8.81 ng/mL, respectively.

Moreover, Zhang et al. [98] demonstrated for the first time the fabrication of SiNWs-FET sensor based carbohydrate-protein interaction where unmodified carbohydrate is immobilized via formation of an oxime bonding (reaction of amine group from APTES and BOC-aminooxyacetic acid). Their finding on the new developed sensor exhibited high specificity of lectin EC detection through galactose-modified SiNW sensor which is capable of detecting as low as 100 fg/m, four times higher than any other sensors reported previously (Figure 12).

The application of SiNWs-FET sensor for biomarker detection also demonstrated by Wu et al. [99] who managed to fabricate high sensitivity of interleukin-1β genes, indicator for breast, colon, lung, head, and neck cancers. To increase the sensitivity of SiNWs-FET device, the authors investigated the effect of oxygen (O2) and nitrogen oxide (N2O) treatment on SiNW surface in order to enhance the capture DNA immobilization efficiency. They found out that one-minute N2O plasma treatment was the optimum time to capture DNA immobilization and at the same time maintain the electrical performance of SiNWs-FET. Under the optimal capture DNA functionalized SiNWs-FET via N2O treatment, 20-mer fragment of IL-1β was hybridized with capture DNA showing the sensitivity and detection limit of 0.12/decade and 252009fM, respectively. The direct and real time detection of influenza virus (H3N2, H1N1, and 8 iso PGF 2a biomarker) from exhaled breath condensate (EBC) based on antibody functionalized SiNWs-FET sensor was established by Shen et al. [100]. EBC samples were collected from human subjects with and without flu and diluted (100-fold) before being delivered to the virus antibody functionalized SiNWs-FET device, which resulted in detection as low as 29 viruses/μL. The authors made a conclusion that 90% of the EBC samples tested with negative or positive results by standard method of RT-qPCR showed similar patterns when applied with SiNWs-FET detection. They also introduced virus antibody modified magnetic beads to enhance the sensitivity in low level of virus in EBC before direct detection of SiNWs-FET sensor. Svendsen et al. [101] demonstrated approximately 50% resistance change using virus antibody functionalized SiNWs-FET devices when applied on infected serum sample with the aleutian disease virus (ADV) from mink than healthy mink.

Besides the application of SiNWs-FET sensor in detecting molecule, heavy-metal detection based SiNWs-FET sensor has also received great attention recently. For example, Bi et al. [102] have designed ultrasensitive SiNWs-FET sensor for simultaneous detection of Cu2+ and Pb2+ in two different channels using oligopeptide modified SiNW arrays. They suggested that modified SiNWs with Pb2+ probe oligopeptide consisted of Cys-Asp-Arg-Val-Tyr-Ile-His-Pro-Phe-His-Leu and Cu2+ probe oligopeptide consisted of Gly-Gly-His were immobilized independently on SiNW surfaces and exhibited high selectivity also capability of achieving low detection limits for Cu2+ and Pb2+ as low as 1 nM and 10 nM, respectively.

Detection of toxic heavy-metal cations such as Cd2+ and Hg2+ based on single-SiNWs-FET sensor has been fabricated by the group of Luo et al. [103]. SiNW surfaces was functionalized with mercaptopropyl silane (MPTES) as the chelating agent to bind Cd2+ and Hg2+ ions leading to the accumulation of positive charge of SiNW surface and resulting in the increase of current. This developed sensor is based on interaction between thiol groups and Cd2+ and Hg2+. The developed FET sensor based SiNW was enabled to detect Cd2+ and Hg2+ as low as 10−4 and 10−7 M, respectively.

Table 2 summarized the applications of SiNW in different techniques as described above.

4. Conclusions and Perspective

We noticed that the hybrid of SiNWs with metal nanoparticles such as gold nanoparticles (AuNPs) and silver nanoparticles (AgNPs) presents a new generation of sensing material electrodes with excellent catalytic activity and high conductivity that can greatly enhance the performance of sensors in terms of sensitivity and selectivity. We believe that the integration of SiNWs as sensing nanomaterials has great interesting in future for fabrication of of miniaturized sensor devices due to their unique properties. In our opinion, the electrochemical and electrical detection showed a great promise in realizing a miniaturized sensor based on SiNWs due to its advantages including high detection, portability, and simplicity of the procedure. However, a few challenges must be overcome. Firstly, the fabrication technique of SiNWs either bottom-up approach or top-down approach must be strongly developed to ensure the reliable electrochemical and electrical SiNW sensor. Highly controlled SiNW fabrication in terms of surface, diameter, length, alignment, and so forth should become the main barrier in the bottom-up technique and therefore the parameter manipulation of SiNW synthesis has to be established as the initial step for development of reproducible sensor based SiNWs. Secondly, since most of the bottom-up techniques produce SiNW suspension followed by dispersing method for the SiNW integration in sensor system, it is quite hard to control the distribution (align) and identical desired direction. Therefore, there is a need for the development technique of casting or alignment of SiNWs in order to control their distribution and quantity. In contrast, top-down approach can provide high control of SiNW synthesis and alignment; however, the high cost of fabrication of SiNW sensors became the main barrier to develop a low cost portable sensor involving advanced lithography tools. For the top-down approach, there are great efforts to find another low costing and effective method for fabrication of reliable sensors. In summary, SiNW is the promising nanomaterial sensing in the future.