Abstract

The design and development of innovative architectures for memory storage and energy conversion devices are at the forefront of current research efforts driving us towards a sustainable future. However, issues related to the cost, efficiency, and reliability of current technologies are still severely limiting their overtake of the standard designs. The use of ordered nanostructured silicon is expected to overcome these limitations and push the advancement of the alternative technologies. Specifically, self-assembling of block copolymers has been recognized as a promising and cost-effective approach to organize silicon nanostructures. This work reviews some of the most important findings on block copolymer self-assembling and complements those with the results of new experimental studies. First of all, a quantitative analysis is presented on the ordering and fluctuations expected in the synthesis of silicon nanostructures by using standard synthesis methods like chemical vapour deposition. Then the effects of the several parameters guiding the ordering mechanisms in the block copolymer systems, such as film thickness, molecular weight, annealing conditions, solvent, and substrate topography are discussed. Finally, as a proof of concept, an in-house developed example application to solar cells is presented, based on silicon nanostructures resulting from self-assembling of block copolymers.

1. Introduction

Nanostructured materials attract enormous interest in both the academic and industrial worlds for their numerous applications spanning through diverse fields, going from microelectronics to photonics, sensors, and photovoltaics [111]. Among the others materials, silicon has dominated the semiconductor industry for the last decades, and there is a projection in the future that it will keep continuing to be the leading actor in the next ones, because of its abundance on earth, known electronic properties, stability, inertness, safety, and finally price. Quasi-zero-dimensional (0D) nanostructures such as Si nanocrystals (Si-NCs) can be applied in discrete traps based nonvolatile memories [12, 13] where the nanostructure acts as a charge storage node and protects the device from memory loss in case of local phenomenon of stress induced leakage current in the gate oxide. In third generation high efficiency solar cells, Si-NCs integrated in multijunction architectures, thanks to the band-gap widening effect, effectively convert to electric energy photons in the blue part of the solar spectrum, thus decreasing the efficiency losses related to carriers thermalization [14, 15]. This approach has generated great attention in the academic world and consequently a large number of publications have been devoted to the study of Si-NCs optical and electrical properties [1421], although it has been found that Si-NCs surface dangling bonds mediating the charge separation via a defect-assisted band-to-band transition decrease the exciton lifetime [22]. Together with the 0D Si-NCs, also quasi-one-dimensional (1D) Si nanostructures such as nanowires or nanopores have demonstrated their potential in photovoltaics, because their architectures allow, with respect to the planar Si, increased light absorption [23, 24] or the formation of radial junctions which decouple the light absorption direction from the electrical carriers path [2531].

For all the above-cited device applications however the nanostructures have to be controlled in size, density, and position. Moreover it is important to realise them by low-cost methods. Partially self-ordered Si-NCs can be realized by properly tuning their synthesis process during chemical vapour deposition (CVD). Among the other methods of synthesis, like ion implantation or aerosol, the synthesis of Si-NCs by CVD is a well-established way in most of the semiconductor fabrication plants because it is fully compatible with standard integrated circuit technology and the deposition parameters are well controlled. Many results exist in literature on the tunability of the CVD process, through the deposition parameters [3234] or substrate pretreatments [34, 35] in order to obtain controlled density and size of the Si-NCs. All the approaches presented in literature to control the Si-NCs properties require a deep understanding of the mechanisms lying underneath the synthesis process. Typically the CVD deposition process of Si-NCs takes place at substrate temperatures ranging between 500 and 700°C. The Si precursor gas is introduced inside the chamber with a transport gas like hydrogen and decomposes over the heated substrate in Si adatoms and volatile compounds. Together with the gas decomposition and the reevaporation of the monomers from the substrate, the thermal diffusion of the Si adatoms and the chemical conditions of the surface rule out the Si-NCs nucleation and growth mechanisms and determine their final morphology and positions [3638].

In this paper the process conditions which correlate the surface diffusion to the nucleation and growth processes determining the Si-NCs morphology and spatial distribution will be focused and the results present in literature will be reviewed and compared. The mechanisms determining the number of effective nucleation sites and the Si-NCs positions will be explained to understand and quantify the process fluctuations and the self-ordering obtainable in such systems.

If by controlling the deposition parameters of Si-NCs by CVD it is possible to obtain a partial self-ordered material, by using methods based on self-assembling mechanisms it is possible to drive the system towards complete ordering. Self-assembly of block copolymers (BCP) has been proposed as an easy and cost-effective method to create ordered nanostructures with critical sizes controlled down to nm level and represent a valid alternative to overcome the limits of the standard lithography [39, 40]. The self-assembling process in BCP is controlled and is uniform at wafer scale, a length scale not easily accessed by advanced methods like the electron-beam lithography. BCPs have been proposed to fabricate both 0D and 1D nanostructures [4143]. Several block copolymer systems have been proposed in literature, but in particular the system composed of polystyrene (PS) and polymethylmethacrylate (PMMA) makes use of materials and processes fully compatible with the standard semiconductor processing techniques like the standard photoresists used in lithography and for this reason it allows an easier integration process. Peculiarity of PS-PMMA system is that, after proper thermal process, it spontaneously self-assembles in macrodomains of hexagonal arrays, in which the PMMA forms a cylindrical phase immersed in a matrix of PS. A large part of literature has been devoted to the use of PS-PMMA BCPs as active layers inside devices. For example, organic solar cells based on bulk heterojunctions involve a mixed donor-acceptor active layer, which can be realized by using BCP where block A contains a p-type electron donor and block B contains an n-type electron acceptor [44]. Self-assembled BCPs can produce ordered patterns with ideal length scales overcoming the limits of current organic solar cells where the blend of polymers presents domains which are too large, giving rise to excitonic loss, too small for producing charge recombination or discontinuous affecting the carrier mobility. The poor electric conduction properties of PS and PMMA restrict, however, their usage for such an approach, unless a proper doping strategy is being used. Several methods have been proposed in literature to dope the BCP, as single molecules or blends by using cis-1,2-dicyano-1,2-bis(2,4,5-trimethyl-3-thienyl) ethene [45, 46], diphenylsulfoxide (DS) [47], or salicylic acid [48].

In the approach discussed in the present review the BCP system is used as a structure director, like in the case of the photoresist in standard optical lithography; that is, it is used to form an ordered template over the Si, which can be replicated onto the substrate and successively eliminated. This is possible by using a peculiarity of the PS-PMMA system: PMMA can be selectively removed by exposure to UV light and successive rinsing in acetic acid, leaving cylindrical pores in the PS matrix. This step corresponds to the development step in standard lithography. In this review the aspects related to the synthesis conditions of the self-assembled polymers will be discussed in terms of the parameters controlling the morphology and the order, together with the proper methods to characterize the nanomasks and to transfer the template to the substrate with related open issues. Finally an application of the Si nanostructures, ordered through BCP lithography and used as building blocks for radial junctions, to solar cells will be for the first time presented.

2. Partial Self-Ordering in CVD Silicon Nanocrystals

Figure 1 shows a series of scanning electron micrograph (SEM) images of Si-NCs deposited by CVD on SiO2 substrates, for increasing deposition times [37]. As it is possible to see, the number and average size of the Si nanoparticles increase. During the CVD synthesis of Si-NCs the deposition process goes through several stages: incubation, nucleation and growth, and impingement. In the initial phase, the gas Si precursor adsorbs onto the oxide surface and decomposes in hydrogen, which desorbs, and Si adatoms which start to diffuse on the surface going to nucleation or evaporation. During the successive stage, the nucleated dots start to grow but still new dots nucleate on the surface, so the Si-NCs density and average size increase with the deposition time.

In the third step the Si-NCs start to merge to form large nanocrystals while the dot density saturates or decreases. If the process is kept going all the clusters will merge and form a continuous film [49]. Figure 2 illustrates the evolution of the Si-NCs density as a function of the deposition time, showing the increment of this parameter in the nucleation and growth regime and its successive saturation [38]. The parallel nucleation and growth are believed to be the main reason for the large size dispersion of the Si-NCs formed by CVD.

In this paragraph the formation processes of Si-NCs on SiO2 substrates through CVD will be reviewed, with the aim of elucidating the process conditions which correlate the surface diffusion process of the Si adatoms with the nucleation and growth mechanisms determining the Si-NCs final morphology and spatial distribution and quantifying the process fluctuations and the obtainable self-ordering. The focus of this study is on the regime of nucleation and growth, in conditions far from the Si-NCs impingements, that is, before the saturation and decrease of the dots density. This is identified as the regime of interest for the devices application. The reactor used for the nanodots synthesis in the series of experiments focused in the rest of this paragraph is a rapid thermal CVD system with tungsten lamps that allow temperature transitions rates of 50°C/s. The Si dots have been deposited on oxidized p type Si substrates with wet oxides of 10 nm of thickness. The depositions have been operated at temperatures between 500 and 550°C, with a chamber pressure of 80 Torr, SiH4 as Si precursor, and H2 as carrier gas. The Si-NCs have been characterized by energy filtered transmission electron microscopy (EFTEM) in plain view configuration. The energy window used to image the Si-NCs is 4 eV centered at 16 eV energy loss. The several EFTEM plan view micrographs have been elaborated by suitable computer image processing to transform them into black and white images [50]. The images have been then analyzed to measure the Si-NCs area and positions with respect to an origin fixed in the micrograph. The radius of each dot is taken as , where is the area of the dot. From the dot radius and the position of its centroid, the nearest neighbour distance between the edges of the dots has been calculated. A statistic of about 500 dots per sample has been acquired. Figure 3(a) reports the experimental distribution of the distance between the edges of the nearest-neighbour dots measured through the structural analysis of the Si-NCs samples deposited on SiO2 substrate at 550°C for 80 s (black line), 90 s (red), and 100 s (green). As it is possible to see the distribution shows a clear maximum for of about 4 nm. This indicates that very few Si dots have nucleated closer than this distance to other existing dots.

Figure 3(b) shows the results of a simulation based on the Monte Carlo method, which assumes a random nucleation, reported in [51], for the deposition condition of 550°C 100 s. As it is possible to observe from Figure 3(b), the simulation provides a probability of having the nucleation of close dots much higher than the experimental observation. This suggests that the nucleation of Si-NCs is not a random process, cannot be simulated by using mean-field models and that the spatial distribution of the Si-NCs and their local environment has to be taken into account. To explain the shape of the experimental interdot distance distributions of Figure 3(a) the Si adatoms diffusion has to be considered: after the precursor gas decomposition they can, besides reevaporating, aggregate to form a new nucleus or diffuse towards previously nucleated clusters. The parameter discriminating between the two is constituted by the position of the substrate where the Si adatoms fall during the CVD process: if they are within a “capture zone” centered around every nucleus will preferentially contribute to the growth of this nucleus [5254] rather than forming a new Si-NC. In other words, if their diffusion length is higher or comparable to the distance between the adatom and the nearest dot boundary, it will attach to this dot. In this condition the new nucleation event in the depletion region around each dot is strongly reduced, since each individual dot absorbs those monomers arriving in its own capture zone. So the growth rate of each dot is limited by the diffusion of the deposited monomers and depends on how much free substrate it has in its immediate neighbourhood.

To quantify this contribution it is necessary to calculate such a capture zone and correlate it with the experimental data on the Si-NCs size. The capture zone can be represented by the Voronoi pattern [52]: the boundary enclosing all the intermediate points lying closer to the centre of each dot than to other points on the substrate is defined as the dot Voronoi polygon. These points of the plane represent the space reserved to the monomers contributing to the growth of the selected dot.

Figure 4 shows an EFTEM image acquired at 16 eV, that is, by selecting the electrons which have lost energy in interaction with the Si regions in the specimen, of a sample deposited at 550°C for 90 s (a) and its relative Voronoi tessellation (b). The white spots in Figure 4(a) represent the Si-NCs. In Figure 4(b) the circles representing the dots have been superposed to the calculated polygons as a guide to the eye, in order to easily compare the dot size to its capture size. As it is possible to observe in Figure 4(b) small nuclei are represented with Voronoi polygons of sizes similar to the ones of larger dots. Before continuing with the calculation of the capture zone area, it is important to highlight that the Voronoi tessellation provides a good representation in the case of heterogeneous nucleation processes, where the nucleation and growth happen in two separate temporal phases; that is, all nuclei form in the early stages of the deposition and then the Si-NCs growth proceeds by including adatoms falling each in their own capture zone [38]. In this case the dot size distribution is very sharp. As highlighted before the literature data on homogeneous nucleation show that during the CVD deposition of SiH4 on SiO2 by increasing the deposition time the density of Si-NCs increases, indicating that nucleation takes place continuously during the deposition and the growth [12, 37, 51]. As a consequence, the Si-NCs present large size dispersion and late nuclei are surrounded by dots of significant extent, which reduce the area of their capture zone. To take into account the continuous nucleation process and the actual Si-NCs size, the boundary of the true capture zone must be computed at the centre of the edge distance between the dots [36, 50, 52]. The capture zone pattern calculated with this method, for the sample imaged in Figure 4(a), is reported in Figure 4(c). As in the case of Voronoi pattern of Figure 4(b), in Figure 4(c) the circles representing the dots have been superposed to compare the dot size to its capture size. As it is possible to note from the comparison with Figure 4(b), in the case of the corrected computation, late nuclei have capture zones smaller than their Voronoi polygons. Moreover the boundaries of the capture zones are slightly curved rather than straight lines, because they tend to follow the dot shape. To correlate the dot radius with the capture size, one has to consider that, at any given time, the dot size, , is approximately given by the product of the coverage, , times the area of its capture zone, . Also the average dot size, , is equal to the product of the coverage and the average of the capture zone size . As a consequence at any time, ; that is, the island size normalized to the average is approximately equal to the area of its capture zone normalized to the average. It has been demonstrated that, for nonrandom nucleation simulated processes, if each distribution is rescaled using the time-dependent length scale factor, , the can be fitted by the following expression , where is equal to the radius normalized to its average and is a free parameter. Figure 5 shows the distribution of the dot size, scaled to the average, for the sample deposited at 550°C for 90 s (squares), of its relative capture zone size (circles) and the curve with . As it is possible to observe in the figure, both the experimental data are well fitted by the curve. This indicates the occurrence of a scaling behaviour, with a nonrandom character of the dot patterns [52].

It is important to note that the scaling behaviour is observed in the nucleation and growth regime, that is, for processes where the coverage, defined as the portion of area covered with Si-NCs divided by the total substrate area investigated, ranges between 10 and 30%. For values lower than 10% the local environment does not influence the deposition process and the nucleation proceeds similarly to a random process, while for values of coverage larger than 30% the size of the dots is large enough to increase the probability of impingement between the dots boundaries, making the Voronoi and capture zone tessellation inappropriate. The coverage range indicated is however the most important for device applications such as, for example, Si-NCs memory devices [55].

A scaling behavior similar to that discussed above is observed also by changing deposition temperature, substrate pretreatment, and postdeposition annealing temperature in Si-NCs depositions [36]. Experimental data on the existence of a capture zone have been also observed for different systems rather than Si, such as Ga clusters on GaAs [56], Sn [57], or AlQ3 on Si substrates [58]. The adatom diffusion process producing the denude zone in fact controls (i) the final dot density, because it inhibits all the substrate sites around the nucleated Si-NCs to be active for nucleation, (ii) the final dot size, depending on the capture zone which the nucleus has available to grow, and (iii) the dot position, because new Si-NCs appear preferentially in regions of the substrate at distances comparable or larger than the diffusion length at that temperature. All these aspects lead to the conclusion that the presence of a capture zone drives the process far from being a random process. At 80 Torr, in the range of deposition temperatures between 500 and 550°C, on thermal SiO2 as substrates, the maximum Si-NCs density registered before impingement is 7 × 1011 cm−2, the average Si-NCs radius is 2 nm, and the minimum interdot distance is about 4 nm.

For device applications the coverage of the substrate with Si is the important parameter, because it takes into account the morphological characteristics of the Si-NCs and governs the final electrical characteristics [55]. Since from these findings the process of dot nucleation appears a nonpurely random phenomenon, the partial self-ordering in the Si-NCs is expected to produce a decrease in the fluctuations of the dot characteristics compared to a pure random process. To quantify the expected fluctuation in the coverage, its standard deviation has been calculated by using the Monte Carlo method and the capture zone model, by assuming the dot radius as random variable and the capture zone radius, , deterministically evaluated as , with constant without any further source of dispersion [13]. The standard deviation of coverage normalized by its average value, for = 3 nm and surface coverage of 20%, in a deposition area of 600 nm2 resulting from the calculation to be equal to 15%. In the case of random nucleation simulated case, the expected fluctuation is about 50%. This result indicates that Si-NCs can be obtained as partially self-ordered systems.

3. Order Control by Block Copolymer Self Assembling

The CVD deposition parameters controlling the adatoms diffusion can be tailored to obtain Si nanostructures partially organized. To reduce to zero the process fluctuations self-assembly of block copolymers has been proposed as a simple and low-cost method for creating ordered nanostructures [39, 5962]. In particular, the block copolymer system composed of polystyrene (PS) and poly-methylmethacrylate (PMMA) allows for the formation of nanofeatures with characteristic sizes controlled down to nm level. Moreover it is compatible with standard semiconductor processing techniques, like the standard photoresists used in lithography. The synthesis procedure is simple and requires low-cost materials and equipments. It starts with an initial surface pretreatment with a random copolymer [63], necessary to neutralise the substrate to the two block copolymers and to promote the proper orientation of the hexagonal template. The polymer-coated substrates are then heated under vacuum at 140°C for 48 hours, above the glass transition temperature of both PS and PMMA, to allow the terminal OH groups of the random copolymer to diffuse to and react with the oxide substrate [63]. Unattached polymer chains are removed by rinsing in toluene for 30 min at room temperature.

The thickness of the grafted layers ranges between 3 and 8 nm. A 30 nm thick film of PS:PMMA copolymer in the ratio 70 : 30 is then spin-coated on the pretreated substrate and annealed at temperatures ranging between 160 and 190°C for times between 1 and 2 hours in furnace under vacuum or by rapid thermal process (RTP) [40], to promote the microphase separation of the blocks and self-organization into the hexagonal arrays of vertical PMMA cylinders immersed in the PS thin film (Figure 6). The template formation is completed by exposing the samples to ultraviolet light, which degrades the PMMA and cross-links the PS. The copolymer is developed in acetic acid, which removes the PMMA cylinders and leaves a porous PS template. The developed samples as observed by SEM show a close-packed hexagonal array of pores arranged in several macrodomains randomly oriented between each other, as shown in Figure 7.

4. Process Tunability and Control

The degree of order depends on a number of parameters such as substrate material, annealing conditions, copolymer molecular weight, and the PS-PMMA film thickness [39]. Figure 8 reports the film thickness as measured by ellipsometry for two different dilutions of copolymers in toluene, 1% and 1.5% by weight, as a function of the spin coating speed, from [39]. In the figure the shaded regions indicate where film is too thin or too thick to achieve proper ordering of the block copolymer. The SEM images present in the graph show the film morphologies obtained for the different film thicknesses.

By changing the copolymer molecular weight it is possible to modify the morphological characteristics of the nanopores, such as size, distance, and density. For example, Figure 9 reports the SEM images of two nanomasks obtained by using a weight of 67 kg/mol (a), with a pore diameter of about 17 nm, and 132 kg/mol (b), with a diameter of about 29 nm, both annealed at 180°C for 2 h. Figure 9(c) shows their relative interpore distance. As seen from the figures the second case with a 132 kg/mol (Figure 9(b) and red curve in the graph of Figure 9(c)) exhibits an order degree lower and a dispersion larger than the case of 67 kg/mol copolymer. This can be attributed to the fact that the heavier molecule needs a larger thermal budget to diffuse [64]. So far the pore diameters obtainable from BCP lithography range between 12 and 30 nm, reachable with 39 kg/mol and 205 kg/mol, respectively [40]. According to the definition of mesopores as pores with diameters ranging between 2 and 50 nm, the PS nanomasks can be classified as mesoporous materials.

BCPs are usually realized by annealing in furnace, but to reduce the processing times a new method based on RTP has been proposed [40]. A fine tuning of the process conditions in terms of annealing temperature and times has been necessary to find the optimal conditions to obtain complete self-assembling. Figure 10 shows the SEM images taken on BCP nanomasks with molar mass of 101.5, obtained at four different annealing temperatures: (a) 190°C, (b) 230°C, (c) 250°C, and (d) 270°C, by fixing the BCP thickness to 30 nm and the annealing time to 900 s. The results show that at low temperature the BCP morphology is the typical one for a disordered state, thus indicating that this temperature is too low to allow the block copolymer molecules to diffuse. At 230°C a hexagonal arrangement of the PMMA cylinders is obtained with a good lateral order (Figure 10(b)). At higher temperatures the ordered phase disappears, indicating that the system is very close to the order-to-disorder transition temperature.

An important aspect when developing a new process to implement in the production fabs is related to the safety of the materials used, because handling and disposal of dangerous materials increase complexity and consequently costs. So far in literature PS-PMMA BCPs are found to be dissolved in toluene, a solvent toxic and irritant for the operator and a potential pollutant for the water. For this reason it is necessary to explore the possibility to dissolve the copolymers in another solvent. Figures 11(a) and 11(b) show the result of a preliminary investigation made by using acetone as solvent for the BCP. The figures report the SEM micrographs in planar view of the nanomasks obtained by substituting the toluene with acetone and by fixing all the other processing standard conditions.

As it is possible to see from Figure 11(a) the sample presents large regions, the bright zones, where the self-assembling has produced ordered nanomasks, demonstrated by the higher magnification analysis of the same region reported in Figure 11(b), but it also presents some regions, represented by the dark zones in Figures 11(a) and 11(b) where the BCPs are in the disordered phases. This can be attributed to the different self-assembling kinetics expected with a solvent with different evaporation rate than the toluene. The promising results on the partial ordering of the acetone dissolved BCP indicate that this aspect can be optimized and further developed in the future.

Ideally the technique for fabrication of nanostructure should allow achieving arrays of nanostructures aligned along the axes of the active area of the final device to form a single macrodomain. The mask order can be achieved by using several ways, such as solvent prewetting [65], electric fields [66], directional crystallization [67], and graphoepitaxy [6870]. The last strategy is currently the most popular and consists in using the substrate topography to influence the organization of BCP films. The BCP film morphology is strongly influenced by an intrinsic polymer-length scale , where is the center-to-center spacing and is the distance between cylinder rows [68]. When the BCP film thickness is lower than , it redistributes itself to make some areas commensurable with . In case of matching between the BCP polymer length scale and the substrate topography it is possible to obtain a complete registration of the domains. Figure 12 reports an example of graphoepitaxy obtained on in-plane (), (a), and out-of-plane (), (b), PS:PMMA BCPs. The substrates have been lithographically prepatterned with 0.2 μm wide lines (a) and with 0.25 μm lines (b). The results show that in both cases the lithographic stripes have been completely subdivided by the ordered nanostructures.

5. Metrology

For all the BCP self-assembling methods implemented so far, however, the morphological characteristics of the nanomasks such as the density of the pores, their relative distance, and the presence of defects inside or at the boundaries of macrodomains determine if a process has succeeded better than another. For this reason an important point related to the new lithography for the production of nanostructures is the adoption of proper metrology techniques. The macrodomains characteristics features such as size, density, and orientation can be characterized by several methods. The first that will be discussed is based on the elaboration of the electronic micrographs in the reciprocal space [71]. The SEM images are analysed by using the following method: a fast Fourier transform (FFT) on the whole image is obtained, and then a mask is applied on the first diffraction ring of the FFT to select the six spots relative to a specific local macrodomain orientation. For example, for each FFT image single spots correlated with some particular orientation, comprised between 0° and 60°, can be selected, and the remaining part of the FFT can be cancelled by using a digital filter. The reduced FFT is then inverted and in the resulting image all the macrodomains oriented along the chosen orientation are highlighted. Figure 13 reports an example of the procedure explained above. Figure 13(a) is the SEM micrograph of a sample obtained with the 67 kg/mol molecular weight and annealed at 170°C for 2 h. Figure 13(b) reports in false colours the inverted image after the selection of the macrodomains oriented along 40°. From the elaborated image, such as the one obtained in Figure 13(b), the macrodomains can be digitally selected and their size and density measured.

An alternative method is based on the analysis of the macrodomains through the definition of their basic domains. The macrodomain, that is, the set of pores arranged in such a way as to form the typical honeycomb structure, is shown in Figure 14(a).

Its Fourier transform has the typical shape with six points arranged at the vertices of a hexagon centered on the origin of the FT and oriented according to the orientation of the macrodomain (see Figure 14(b)). Careful observation of the macrodomain reveals that it is formed by the combination of many elementary structures referred to as “basic domains,” such as the one shown in Figure 14(a). A basic domain is the set of seven nanopores, one of which is at the center while the other six are arranged at the vertices of a hexagon centered on the central nanopore. From the geometric structure of the basic domain follows that the angles between adjacent external nanopores (as nanopores A and B of Figure 14(b)) and the central pore are 60° and also that the nanopores on the vertices of the hexagon are all equidistant from the central nanopore. This distance ( depends on the molecular weight of the copolymer. The basic domain is defined by the requirements on the distance and angle as shown in Figures 14(c)14(e). First in the micrograph all nanopores are digitally identified and associated with progressive numbers and positions with respect to a defined origin in the image. Then, via a code written in Matlab, all the basic domains are identified. The code provides information on whether each nanopore is the central nanopore basis of a domain. In this case the nanopore must (i) have six nanopores within a distance , where depends on the molecular weight of the copolymer while is the uncertainty interval of distance and (ii) form angles of 60° (or multiples thereof) ±  , with two of the six nanopores that are at a distance of from it, where is the uncertainty range of angles.

The inputs of the Matlab program are the location of each nanopore in the micrograph; which depends on the molecular weight of the copolymer used; the range of uncertainty of the distance between two nanopores, ; and the range of uncertainty of the angles, . The outputs are an ascii file that lists all macrodomains with their basic domains and a graphical output with the various macrodomains identified with different colors. Figure 15(a) shows the output for a nanomask made of a copolymer with 67000 g/mol ( = 42 nm). The values of and used are, respectively, 10 nm and 10°. A number of 39 macrodomains have been obtained and the largest is formed by 31 basic domains.

Figure 15(b) reports the results of the nanomask analysis through the Delaunay triangulation, a supplementary method for BCP metrology. It is defined as the triangulation for a set of points in a plane such that no point is inside the circumcircle of any triangle in the triangulation. It allows assigning to each pore of the BCP nanomask the number of its nearest neighbours pores. The ordered regions present pores with 6 nearest neighbors, while the defective regions present 5-fold or 7-fold neighbours. In this way the number and the type of defects present in the nanomasks are easily identified and quantified [72].

A quick analysis method, which offers high resolution and large statistics on the average spacing between the first neighbour pores, relies on the calculation of the autocorrelation pattern (AC) [43]. It allows determining the self-similarity of an image and is obtained through a series of mathematical operations performed starting from the SEM image. The first operation is to calculate the Fourier transform (FT) of the real image; then the resulting image is multiplied by its complex conjugate; after this step we calculate an inverse FT of the resulting image and normalize the maximum to 1.

The AC pattern associated with the SEM image of the nanomask shown in Figure 16(a) is reported in the inset of Figure 16(b) and presents several rings with modulated intensity. The modulation indicates that there is a preferential orientation of the macrodomains in the analyzed image. This is due to the image size which is not large enough to provide an extensive number of macrodomains and then a completely random orientation. To obtain an average value of the repeated features present on the sample, we have measured the section profiles along more directions of the AC pattern. The profile curves are reported in the graph of Figure 16(b). As it is possible to see the curves have a similar behaviour. The number of peaks corresponds to the degree of order. The first peak after the central one indicates the average spacing between the first neighbour pores and results to be about  nm.

6. Pattern Transfer

Together with the metrology of the BCP lithographic mask, also the processes needed to replicate the pattern to the substrates are extremely important. After the formation of the nanomask and the removal of the PMMA cylinders, the PS nanoporous film is 30 nm thin and subjected to oxidation if exposed to air, humidity, and ambient light [71, 73]. These factors make it necessary to transfer the pattern of the nanomask onto an SiO2 substrate, which will work as a hard mask during the successive processing steps. Due to the small sizes of the masks it is necessary to use plasma based etching processes, which are extremely sensitive to many variables [59, 74]. Moreover during dry etching processes the ionic bombardment component of the plasma etches the PS [75, 76] and the etch rate of the oxide is often smaller than the etch rate of the polymeric mask. The process becomes even more complicated because, during the SiO2 etching process in fluorocarbon plasmas, the most popular chemistry used for oxide etch, two concurrent phenomena take place: one is the etching of the SiO2 due to the detachment of substrate atoms induced by the energetic ions, and the other one is the deposition of a passivation layer that inhibits the SiO2 etching [7780]. Since on the walls of the structures the detachment processes are suppressed by the directionality of the ion flux [76], the passivation layer in particular conditions can deposit over the vertical walls and protect them from the etch, by increasing the anisotropy.

Figure 17 shows a SEM image in tilted view of a SiO2 layer presenting ordered nanopores obtained by pattern transfer of the BCP nanomask after dry etch. The transfer has been performed by using an optimised plasma process during which the selectivity with respect to the PS based nanomask is obtained through dilution of CF4 with N2, due to the increase in the concentration of atomic fluorine and CFx particles in the plasma which leads to the formation of a robust protective layer [81]. The formation of a CFx passivating layer has been observed after the plasma process and this is attributed to the chemical reaction of PS with the fluorine.

So far the directed assembly of out-of-plane () cylindrical-phase diblock copolymer films, leading to perpendicular (vertical) cylinders of PMMA immersed in a PS thin film, has been described. copolymer films can be used to spatially define one-dimensional (1D) vertical structures, like nanoholes [43] or zero-dimensional structures such as nanocrystals [82] or magnetic bits [83]; aligned in-plane domains () can readily delineate other critical elements of microelectronic circuits like transistor gate length or metal stripes [68]. The BCP film morphology is strongly influenced by the surface interactions. A nonneutralized substrate surface allows forming a thin layer of PS with horizontal PMMA cylinders immersed in it. As a consequence the morphology of the nanomask will be composed of thin nanowires lying over the substrate, which after PMMA removal appear as horizontal nanochannels such as those imaged in Figure 18.

It shows a SEM micrograph of a sample obtained in the phase, after the PMMA removal (Figure 18(a)) and after the pattern transfer to the SiO2 substrate (Figure 18(b)) by dry etch.

The hard mask of SiO2 created after the pattern transfer by dry etch such as the ones imaged in Figures 17 and 18(b) can be used to replicate the nanopattern down to the Si substrate. Figure 19 reports an example of the literature showing this concept [84]. The schematic diagram from (a) to (c) illustrates the section of the Si substrate with the patterned SiO2 hard mask (a), the dry etch with HBr chemistry, producing the nanoporous Si (b), and final removal of the SiO2 hard mask residuals (c). Figures 19(d) and 19(e) are SEM micrographs in tilted view of the nanoporous Si after the oxide removal (d) and after growing a 4 nm thick thermal oxide on the porous Si surface and the deposition of tantalum nitride layer, to fabricate a metal on semiconductor (MOS) capacitor.

The pattern transfer onto Si can also be done by using a process similar to the Bosch process, based on the use of repeating etching/deposition cycles which ensure the protection of the sidewalls [43, 85]. The first step is used to isotropically etch the silicon, using a mixture of SF6 and O2 as etching gases. The second one is a deposition step, that allows depositing a passivation layer which covers and protects the lateral walls of the nanostructure with respect to the successive dry etching steps. This second process is performed by using a mixture of CHF3 and Ar as passivation gases. Typical Bosch processes present etching rates very high, in the range of 1 μm/min [86]. To apply this process to nanostructured samples it is necessary to scale it down to obtain lower and controlled etching rates. The typical thickness to be etched is in the range of tens of nanometers, so to obtain controlled processes it is necessary to achieve etching rates of about 100 nm/min, that is, one order of magnitude slower than the typical Bosch processes.

Figure 20 reports an example of these preliminary trials, that is, the TEM image in cross view of a sample after the pattern transfer from the oxide hard mask down to the Si substrate which has been performed without breaking the vacuum between the two steps. The sample fabrication consisted in thermal oxidation of a p type Si substrate forming a 12 nm thick SiO2 layer. The BCP lithography was performed by using a 67 kg/mol copolymer annealed at 190°C for 2 h. The pattern transfer from the SiO2 hard mask to the Si has been performed after the PMMA removal and by using the modified Bosch process with 4 etching cycles of 10 sec each. The resulting depth of the etched Si is about 33 nm. The cycling of etching/deposition steps makes a scalloped shape on the nanopores typical of the Bosch-based processes. By increasing the number of etching cycles the depth does not increase, thus indicating that during the deposition cycle the amount of passivating material formed inside the pores is large and this influences the next etching cycle efficiency. More work should be performed on this direction especially to rule out the contribution from the passivation layers formed during the deposition cycle and to optimize the final aspect ratios of the structures.

7. Applications to Devices

Ordered Si-NCs have been obtained by using the BCP lithography for application in nonvolatile memories [82]. These cells require low voltage for the write and erase operations and exhibit good data retention properties. Ordered quasi-1D Si nanostructures can be also applied to Si solar cells based on radial junctions [25, 8790]: such a geometry enables an independent optimization of the design requirements for light absorption and carrier extraction, which are the two key conditions for efficient energy conversion in a solar cell [91, 92]. The 1D structures can be categorized as “positive” structures with respect to substrate, namely, structures coming out from substrates to free space, such as wires and rods, and “negative” structures, which are embedded into the substrate, like, for example, nanopores or nanoholes (NHs) [9396]. The negative structures maintain all the advantages of the positive structures, such as optical absorption tunability and light/carrier decoupling, and in addition they exhibit an important advantage: the increased robustness to the mechanical stress. This greatly reduces the handling costs thus facilitating the implementation in production of nanostructures of this type. The characteristic feature size of the NHs such as diameter, distance, and depth must be however appropriately tuned to control the increment in optical absorption and in current collection. Moreover with the use of nanometer sized structures it is possible to take advantage of the quantum confinement effect, which allows tuning the Si band gap [9799]. BCP lithography offers a simple and low-cost method to fabricate such NHs. In the fabrication processing of nanostructure-based devices the doping step is crucial as well. The requirements are absence of crystal damage, control of doping profile at nm level, possibility to obtain high dopant concentrations, and conformality. Doping at the nanoscale with all these requirements has been recently proposed [100104]. This method consists in the immersion of the material to be doped in a chemical bath containing the dopant precursor molecules which deposit over the sample surface. The molecular layer then works as a dopant source during the successive thermal treatment which releases the dopant atom from the molecule and diffuses it into the substrate. P-type and n-type conformal doping have been already demonstrated by using the molecular method [43]. Preliminary results have also demonstrated that the method allows for conformal doping [103]. Figure 21 shows an example of the results of the doping profile obtained in a flat Si sample with the boron containing molecules. The doping process in that case consisted in a brief HF dip of a p type Si sample immediately followed by immersion in a solution of allylboronic acid pinacol ester (1/4, v/v) kept at about 120°C for 2.5 h. This step created a layer of boron-containing molecules all over the sample surface. A furnace annealing at 950°C for 500 sec, with a ramp temperature of 10°C/min, starting from 600°C, was then performed to release the dopant from the molecule and diffuse it in the silicon. As it is possible to see the profile in the graph, which represents the spreading resistance profiling result, shows a peak of about 1 × 1019 cm−3 of carrier concentration centered at about 3-4 nm. The profile decreases to the bulk doping level of 1016 cm−3 at about 20 nm of depth. The result shows that the junction depth is shallow and that the peak dopant concentration is higher than 1019 cm−3. The electrically active dopant dose diffused in the bulk, as calculated by the carrier profile, is equal to 6.2 × 1012 cm−2, while the sheet resistance is equal to 12 × 103 ohm/sq.

This doping method has been used to form the p-n junction in solar cells nanostructured by BCP.

The experimental procedures for the fabrication of the NH based solar cells consisted in forming a 12 nm thin SiO2 layer on a n-type Si wafer. The BCP lithography has been performed by using the 67 kg/mol PS:PMMA 70 : 30 copolymer, with an annealing at 190°C for 2 h to obtain the self-assembling. After the PMMA removal, the wafer has been subjected to the pattern transfer of the PS nanomask onto SiO2 by dry etch process by using a CHF3/Ar chemistry in a ratio of 0.25 at 400 W. To replicate the pattern onto Si, cycles of SF6/O2 and of CHF3/Ar, with duration of 10 s each, for the modified Bosch process discussed above, have been performed, thus leading to the formation of Si NHs of 33 nm depth in Si. After a brief HF dip the doping has been done by using the same experimental conditions discussed for Figure 21; that is, the boron doping characteristics have been tuned to obtain a very sharp and conformal junction, to follow the NH walls and make a radial junction. The doping procedure was performed also on flat n-type Si samples which worked as references planar solar cells.

The schematic of the NH solar cell structure is presented in Figure 22(b), together with that of the planar device fabricated as a reference. The top contact has been realized after removal of the parasitic junction on the back, by optical lithography, which defined a finger bus geometry with eight stripes 200 μm large and a pitch of 800 μm and subsequent 150 nm thick aluminum deposition by sputtering. The rear contact fabrication process consisted of the sputtering deposition of nickel and gold, 160 nm thick. No passivation step has been performed on the devices. The current-voltage (-) characteristics of the solar cells have been acquired in the dark and under controlled light conditions, by using a Keithley 237 source-measure unit. The light irradiation has been performed by using a solar simulator based on a 150 W Xenon lamp, with a light spot of 3.5 cm and equipped with an ASTM filter which produces the AM1.5G solar spectrum. The collimated beam of the lamp has been directed normally to the surface of the sample, fully covering the whole sample area in all the cases. The light intensity measurement has been performed by using a calibrated Si photodiode.

Figure 23 shows the - curves between −3 and +1 V for the flat (line) and the Si-NHs (line + symbols) cells, measured under visible light irradiance. The cells show a photovoltaic effect with a severe effect of parasitic resistances evident in reverse polarization. In saturation conditions at −3 V the current under illumination is 6.4 mA for the flat diode and as high as 13 mA for the NH cell. The open circuit voltage, , for the flat cell is 350 mV, while for the Si-NHs cell is 150 mV. The high photocurrent exhibited by the NH cell indicates the increased light absorption due to the light-trapping effect of the Si-NHs [43]. The low values of for both devices could be attributed to the not fully optimised back contact formation and, in the case of the NHs diode, with respect to the flat one, to the low electric field related to the very sharp nanojunction, not fully contacted by the finger bus metallic contact. An improvement would require the deposition of a conformal top transparent contact. The same reason explains the high parasitic effects observed in the cells.

8. Conclusions

In this review methods based on self-assembling processes to synthesize ordered Si nanostructures have been focused on and discussed. In the first part the formation of Si-NCs on SiO2 substrates through CVD has been reviewed, to understand how the surface diffusion process of the Si adatoms is correlated with the nucleation and growth mechanisms determining the Si-NCs final morphology and spatial distribution. The study has been dedicated to the regime of nucleation and growth far from the Si-NCs impingements, that is, before the saturation and decrease of the dots density. The process fluctuations and the self-ordering obtainable in such systems have been quantified. In the second part of the paper a method based on self-assembling mechanisms of BCP to form ordered Si nanostructures has been reviewed. The parameters ruling the self-assembly of PS-PMMA BCPs, such as film thickness, molecular weight, annealing conditions, solvent, and substrate topography, have been examined. Several methods to quantify the order degree present in the BCP system have also been illustrated and compared, together with the current approaches to obtain the pattern transfer from the polymeric layer to the Si substrate. Finally new results on the realization of radial junctions on ordered Si nanostructures obtained by BCP and integrated in solar cells have been shown to demonstrate the feasibility of such innovative approaches.

Conflict of Interests

The author declares that there is no conflict of interests regarding the publication of this paper.

Acknowledgments

C. Bongiorno, S. Caccamo, S. Di Franco, C. Garozzo, M. Italia, A. La Magna, S. Lombardo, S. Pannitteri, M. Rapisarda, S. Scalese, and C. Spinella from CNR-IMM are gratefully mentioned for their help in the synthesis and characterization of the samples and for useful discussions. This work has been funded by MIUR by means of the national Program PON R&C 2007–2013, Project “Tecnologie per l’ENERGia e l’Efficienza energETICa (ENERGETIC)” (PON02_00355_3391233).