Research Article

Traversal Caches: A Framework for FPGA Acceleration of Pointer Data Structures

Figure 10

N-body kernel speedup obtained by the traversal cache framework for different amounts of datapath replication .  k, theta = 0.5.
652620.fig.0010