Research Article

Traversal Caches: A Framework for FPGA Acceleration of Pointer Data Structures

Figure 7

2D (a) and 3D (b) Barnes-Hut application speedup achieved by the traversal cache framework on a Virtex 4 LX100 compared to a 3.2 GHz Xeon for various numbers of particles and representative distributions. The speedup is larger for the 3D algorithm, despite approximately equal similarity for each distribution, indicating that the increased computational intensity of the 3D algorithm takes better advantage of the FPGAs resources. Theta = 0.5.
652620.fig.007a
(a)
652620.fig.007b
(b)