Research Article

Traversal Caches: A Framework for FPGA Acceleration of Pointer Data Structures

Figure 8

For lower values of theta (i.e., less approximation in Barnes-Hut), the traversal cache framework achieves larger application speedup. Higher values of theta than shown are not common in practice.  k.
652620.fig.008