Research Article

Reduced-Precision Redundancy on FPGAs

Table 3

Number of SEUs causing each class of effect for an FIR filter protected with TMR and several levels of RPR using experimentally determined thresholds ( ), compared to mathematically determined thresholds ( ).

Design Slices used Class 1 bits Class 2 bits Class 3 bits Class 4 bits Total catastrophic (% reduction) Improv. in failure rate

Unmitigated 1,030 59,156 6,472 1,501 943 2,444 (—%)
RPR, , 1,755 106,751 6,239 11 2 13 (99.47%) 188×
RPR, , 1,755 106,863 6,191 11 2 13 (99.47%) 188×
RPR, , 1,470 84,284 7,819 226 2 228 (90.67%) 10.7×
RPR, , 1,470 84,583 7,709 42 2 44 (98.20%) 55.5×
RPR, , 1,313 73,992 6,875 1,598 666 2,264 (7.36%) 1.08×
RPR, , 1,313 74,129 8,267 634 36 670 (72.59%) 3.65×