Research Article

A Streaming High-Throughput Linear Sorter System with Contention Buffering

Table 7

Superscalar and reorder buffer comparison.

Min. delay Max. frequency

Interleaving 1
Superscalar processor 15.3  s 65 MHz
Interleaved linear sorter system 3.612  s 277 MHz

Interleaving 2
Superscalar processor 16.5  s 61 MHz
Interleaved linear sorter system 4.746  s 211 MHz

Interleaving 4
Superscalar processor 17.8  s 56 MHz
Interleaved linear sorter system 6.941  s 144 MHz