Research Article

Analysis of Fast Radix-10 Digit Recurrence Algorithms for Fixed-Point and Floating-Point Dividers on FPGAs

Table 7

Postplace and route result of a 64-bit binary floating-point divider (Core Gen).

ߙCycles per division
1102055

No. of LUTs3161592425394
No. of FFs196518469542
No. of LUTs and FFs comb.3232917675675
Cycle time (ns)153.720.88.96.8
Overall latency (ns)153.7208178374
Max. frequency (MHz)6.548112147