Research Article

Parametric Yield-Driven Resource Binding in High-Level Synthesis with Multi-Vth/Vdd Library and Device Sizing

Figure 15

Power yield improvement against deterministic worst-case approach with asynchronous level conversion and different timing yield constraints.
105250.fig.0015