Abstract

Inherent residual stresses during material deposition can have profound effects on the functionality and reliability of fabricated MEMS devices. Residual stress often causes device failure due to curling, buckling, or fracture. Typically, the material properties of thin films used in surface micromachining are not very well controlled during deposition. The residual stress, for example, tends to vary significantly for different deposition conditions; experiments were carried out to study the polysilicon and silicon nitride deposited by Low Pressure Chemical Vapor Deposition (LPCVD) method at wide range of process conditions. High temperature annealing effects on stress in case polysilicon are also reported. The reduced residual stress levels can significantly improve device performance, reliability, and yield as MEMS devices become smaller.

1. Introduction

The deposition of thin films is an important field of Micro Electro Mechanical Systems (MEMS) or micro system technology. Most of the thin films exhibit stress after deposition. This stress has many different causes. Most films are deposited at elevated temperature. If the thermal expansions of the film and substrate are not identical there will be stress between them after cooling. Other sources are lattice mismatch, crystallization, atomic peening, incorporation of foreign atoms, microscopic voids, variation of interatomic spacing with crystal size, crystallite coalescence at grain boundaries, phase transformations, and texture effect. Sometimes this stress is called internal stress or residual stress. This stress may cause problem for thin film technology. It changes the behavior of the thin films often in an uncontrolled manner (Figure 1 shows the one of the structure under stress), reducing the yields and long term durability and sometimes causing fracture. Many researchers had investigated the mechanical response of thin films, for example. Frequently, each particular investigation involving MEMS tends to be device dependent; type of film used and deposition methods adopted, and introduces new fundamental questions. Progress in this field has leaned towards providing more specific technological solutions rather than generating a basic understanding of mechanical behavior.

In the recently developed technology of microsystem, more and more standing thin film structures are used, for example, resonator, movable parts in surface micromachining, thin film membranes, and cantilever beams in transduction [1, 2]. For these applications, the thin films used must be stress-free or stress compensated [3].

The use of stress values extracted from the literature is not sufficient since materials properties can change a lot from one piece of equipment to another as well as between two processes. It is therefore not only necessary to characterize the polysilicon and the silicon nitride produced in each laboratory but also essential to be able to measure the stress during each fabrication batch on processed wafers.

In this paper a wide range of synthesis conditions for the two most important films, polysilicon and silicon nitride, are investigated and residual stress characterization is reported.

2. Materials and Methods

2.1. Deposition by LPCVD

In our experiments, polysilicon and silicon nitride thin films were deposited by Tempress Systems Inc.’s LPCVD Furnace on 6 inch, 625 μm thick, p-type, and [100] oriented silicon wafers. The typical reactor (or furnace), shown in Figure 2, is based on a hot-wall, (5 zone) resistance-heated, horizontal, fused-silica tube design. The furnaces are equipped with quartz boats that have closely spaced, vertically oriented slots that hold the wafers. The close spacing requires that the deposition process must be performed in the reaction-limited regime to obtain uniform deposition across each wafer surface. In the reaction-limited deposition regime, the deposition rate is determined by the reaction rate of the reacting species on the substrate surface, as opposed to the arrival rate of the reacting species to the surface (which is the diffusion-controlled regime) [4]. The relationship between the deposition rate and the substrate temperature in the reaction-limited regime is exponential, shown in Figure 3 [4]; therefore, precise temperature control of the reaction chamber is required. Operating in the surface-reaction-limited regime facilitates conformal deposition of the film over the substrate topography, an important aspect of multilayer surface micromachining.

2.1.1. Polysilicon (Poly-Si or Polycrystalline Silicon or Poly)

Typical deposition conditions utilize temperatures from 580 to 650°C and pressures ranging from 100 to 400 mTorr [48]. The most commonly used source gas is silane (SiH4), which readily decomposes into Si on substrates heated to these temperatures. Gas flow rates depend on the tube diameter and other conditions. Processes were performed by us at two pressure values 220 mTorr and 320 mTorr and at temperature range from 580 to 645°C.

2.1.2. Silicon Nitride (Si3N4)

The LPCVD Si3N4 films are deposited in the same horizontal furnaces used for polysilicon deposition. Typical deposition temperatures and pressures range between 700 and 900°C and pressure from 200 to 500 mTorr, respectively [4, 5, 912]. We have deposited the film at three temperature values. The standard source gases are dichlorosilane (SiH2Cl2) and ammonia (NH3). SiH2Cl2 is used in place of SiH4 because it produces films with a higher degree of thickness uniformity at the required deposition temperature and it allows the wafers to be spaced closely together, thus increasing the number of wafers per furnace load. LPCVD Si3N4 films deposited between 700 and 900°C are amorphous; therefore, the material properties do not vary significantly along the length of tube despite the temperature gradient.

2.2. Residual Stress Characterization

Stress measurement was carried out using -space MOS ultrascan. It is a high resolution scanning curvature and tilt-measurement system, uses a laser array to map the two-dimensional curvature and stress of semiconductor wafers with 2 μm scanning resolution. In LPCVD system, thin films were deposited in both sides of silicon wafer; backside etching of films was required. Various methods are available for measurement of mechanical properties [13]; results of wafer curvature method [14] are in good agreement with other methods except 20% variation observed in Guckle’s rings. Bare wafer curvature is taken as reference and after deposition change in curvature occurs. The change in curvature was then converted to the average residual stress in the film using Stoney’s equation [6, 11]. Residual stress is not uniform allover the wafer (Figure 4); average calculation was done by these methods and values of Poisson’s ratio, Young’s modulus are adopted from literature, are the drawback of this method.

2.3. Deposition Rate and Refractive Index

Optiprobe tool is used for thickness measurements and deposition rate calculated for corresponding deposition conditions. Silicon nitride refractive index was also measured using this tool.

3. Results and Discussion

3.1. Polysilicon

Critical process variables for polysilicon deposition include temperature, pressure, silane concentration, and dopant concentration [36]. The residual stress of as-deposited polysilicon films can be tailored by changing the deposition temperature and pressure.

3.1.1. Deposition Rate (DR)

It increases rapidly as temperature increases. Deposition process that is surface-reaction-limited is primarily dependent on reactant concentration and deposition temperature. The higher the deposition temperature the higher the reaction rate, high reaction rate increases the deposition rate. Activation energy () can be calculated by slope (Figure 3). DR increases up to certain limit of temperature and then starts reducing, as shown in Figure 4, because at higher deposition, temperature reaction rate is faster than availability of reactant gas silane. At higher temperature gas-phase reaction results in silane depletion; further this situation is aggravated by presence of released hydrogen gas. This nonuniform gas-phase concentration results in deposition nonuniformities. Increasing pressure increases the DR, and if temperature is varied again it follows the same behavior as discussed above but increases roughness. Deposition rate is expected to increase with increasing pressure. This trend is most evident at lower temperatures. However, at higher temperatures the effects of silane depletion become more pronounced and the curves begin to approach a common growth rate. In Figure 5, one observes that the effect of depletion is most pronounced in low pressure/high temperature regions [15]. Unfortunately, these are the regions best suited for the growth of highly crystalline films.

3.1.2. Structure of Polysilicon

It is strongly influenced by deposition temperature and after the heat cycle (annealing) polysilicon deposited at 580°C was amorphous [3, 4, 7] with no detectable structure; above 625°C, it was crystalline and has columnar structure [4, 5, 7]; between 590 and 615°C, it was a transition period from amorphous to columnar; both types of grains were present. Film should not be deposited in this region. Deposition temperature at which transition from amorphous to columnar structure occurs is well defined but depends upon variables such as deposition rate and pressure, confirmed by our experiments.

3.1.3. Origin of Residual Stress

For most film-substrate material combinations, films grow nonepitaxially in the Volmer-Weber mode (i.e., “during the deposition, chemical potential of grain boundaries is lower than chemical potential of as-deposited surface. Difference in chemical potentials provides the driving force for diffusion of adsorbed particles into the grain boundary. Accumulation of the particles in the grain boundary areas induces residual compressive stress of the layer. It is related to Volmer-Weber deposition mechanism, the diffusion length of the adsorbed particles has to be high enough to reach the grain boundary area. This condition is satisfied if the deposition proceeds under surface-reaction-rate-limited regime”) which leads to a polycrystalline microstructure. Although the connection between film growth, stress, and microstructure is not yet fully understood, the growth of polycrystalline films can be classified into a sequence of stages, and some general trends in behaviour can be identified as shown in Figure 6 [16]. In stage 1, the material deposited forms discrete clusters or islands on the substrate surface. These islands are usually subjected to compressive stress, which is attributed to the action of surface and/or interface stress that reduces the lattice spacing in a very small isolated crystallite compared with the spacing in a bulk crystal of the same material. In stage 2, island growth leads to island-to-island contact and the formation of grain boundaries, which is the cause of tensile stress that arises in the film. An interesting approach introduced by Nix and Clemens [17] describes the island coalescence as a reverse elastic fracture mechanism in which the grain boundary is considered as a crack; the system can lower its free energy by closing this crack and replacing the high surface energy by the lower interfacial energy. By closing up the crack or grain boundary, respectively, the film material is subjected to tensile stresses, which most of the material accommodates elastically. In stage 3, the growth stress in polycrystalline materials decreases, which often leads to compressive film stress at deposition temperature that cannot be explained merely by relaxation of tensile stresses. A possible explanation for this experimentally observed change from tensile to compressive stresses with increasing film thickness is the migration of surface atoms into the grain boundaries. Investigations have shown that only a small number of excess atoms are necessary to induce such compressive stresses [16, 18] elastically.

In our experiments residual stress in polysilicon is very much related to microstructure which is highly dependent on deposition conditions. In regions 1-2 (A-B), Figure 7, at low temperature and pressure, deposition rate is slow; weak binding force between substrate and film causes very less stress or tensile stress. Due to low kinetic energy of silicon atom that causes nucleation in smaller fine grains boundaries, stress will be of tensile nature. Film was amorphous in nature at 580°C. Trapping of impurities, vacancies, and defects are also sources of stress, as shown in regions 2-3 (B-C). Increase in temperature causes increase in kinetic/surface energy of films and secondary formation of islands and coalescence to form large nucleate causes decrease in volume and increase in stress; this gives rise to compressive stress. This was confirmed by our experiment that, at temperatures between 590 and 610°C, tensile nature of stress changes into compressive. In regions 3-4 (C-D), further increase in temperature, above 610°C, increases surface energy and grains grow bigger and adatoms diffuse in between grain boundaries and compressive stress increases. Further increase in deposition temperature and pressure causes grains to grow columnar and adatoms imping on top and crystallite grow vertically and stress decreases.

3.1.4. Annealing

It affects the residual stress [15, 19] as shown in Figures 8 and 9; in any type of deposited film whether it was amorphous or crystalline, heating in inert environment results in compressive stress. During the investigation of the effect of annealing temperature on residual stress, it was observed that annealing for 30 minutes was enough to relieve stress to nearly zero near 1050 or 1100°C as shown in Figure 8. Structure of film will be columnar similar to higher deposition temperature 625°C. Roughness increases after annealing due to two reasons: firstly, the further growth of already present columnar grains and, secondly, the heat cycle given to as-deposited films; more and more polysilicon granules start crystallizing and they have tendency to grow vertically. Higher temperature reflow causes recrystallization at high temperature deposited material melts, re-flow occurs and voids, defects or vacancies came out at grain boundaries, it relaxes the stress. Annealing at 1050°C for 30 minutes, as shown in Figure 9, we are able to reduce the residual stress to nearly zero.

3.1.5. Thickness

It also influences the residual stress; if higher thickness is required for particular application then this method is a good choice. Film deposited at 625°C at 320 mT shows reducing trend in residual stress as thickness increases, as shown in Figure 10.

4. Silicon Nitride

Using LPCVD, it can be produced by reacting dichlorosilane (SiH2Cl2) and ammonia (NH3) at temperatures between 750°C and 850°C [8, 11, 12, 20]. The reduced-pressure technique has the advantage of yielding good uniformity and higher wafer throughput. The reduction in pressure results in an increase in the diffusivity of the gas species by a factor of 1000. This facilitates transfer of the process from a mass-transport-limited one to a surface-reaction-limited one. With hot-wall LPCVD reactors, fairly uniform temperature distribution can be achieved; thus the deposition uniformity tends to be excellent. Deposition rates are lower for low pressure systems; however, since LPCVD reactors are not constrained by mass transport, wafers can be stacked vertically at close spacing thereby increasing wafer throughput. Increasing pressure or temperature increases the deposition rate (see Figure 12). As shown in Figure 11, keeping the temperature constant at 750, 800, and 850°C and varying the DCS/NH3 ratio we are able to control residual stress from tensile to compressive and totally compressive with minimum value of 19 MPa.

4.1. At Higher Temperature Reaction Rate Is Faster and Enhances the Deposition Rate

Adsorption takes place according to a Freundlich isotherm (i.e., the adsorption enthalpy of species shows a logarithmic change with the partial pressure of the species). But increasing the gas ratio reduced the deposition rate and significantly increased the wafer to wafer and within wafer thickness nonuniformity. The reduction in deposition rate observed in this study is expected since an increase in partial pressure of NH3 is expected to reduce the thermal dissociation of SiH2Cl2.

4.2. Refractive Index (RI)

It also increases from 1.6 to 2.77 (Figure 13) as DCS/NH3 ratio increases which indicates silicon rich film, lower tensile stress. At two temperature values stress was always tensile and RI was found increasing but at 850°C was observed compressive stress beyond DCS/NH3 ratio 3. This data supports the prediction by French and coworkers that a compressive stress is achieved for an index of refraction above approximately 2.30 [11]. Further increase in Si volume fraction does not reduce the residual stress appreciably. Based upon the empirical relationship established by Olson [11], an index of refraction of 2.30 (for a wavelength of 633 nm) corresponds to a Si/N ratio of approximately 1.1, significantly greater than the 0.75 ratio for stoichiometric Si3N4 (refractive index equal to ). Increase in refractive index is not linear as gas ratio increases, due to evolution of hydrogen gas. As such, since the index of refraction increases with increasing Si content, the residual stress is inversely proportional to the index of refraction.

4.3. Residual Stress

It reduces as we increase the deposition temperatures with increasing DCS/NH3 ratio, as shown in Figure 11, and variation in stress across the wafer is shown in Figure 14. The origin of residual stress is not from difference in thermal expansion but stress of intrinsic origin. A plausible explanation for the intrinsic stress in silicon nitride films was offered by Noskov et al. [21] who argue that the large tensile stress in stoichiometric Si3N4 results from the shrinkage of the bulk of the film during and after growth, caused by dissociation of Si–H and N–H bonds and rearrangement of the dangling bonds to stable Si–N bonds. Evidence for their model is found in the fact that the tensile stress in their films increases as a result of annealing and probably also in the fact that the residual stress after annealing depends on the thickness of the film.

4.4. Thickness

It also affects the residual stress. An increase in thickness reduces the residual stress as shown in Figure 15. This is due to higher surface diffusivity, which promotes stress relaxation, and smaller tensile stresses are observed. As film thickens stresses essentially vanish.

5. Conclusion

This work confirms how the residual stress, deposition rate, refractive index, and film compositions are affected by deposition parameters. Annealing and thickness were also found to influence the residual stress. By using a wide range of process conditions and evaluating the results obtained in each run, some conclusions about the possibility to create a thin film with low stress could be made. Based on results here, the most important conclusion is that an ideal combination of deposition parameters is very difficult to find. Therefore, one way to approach this problem, when making a deposition for specific application, is to really consider what kind of stress would be acceptable. If thermal budget permits, annealing is also a solution in case of the polysilicon. The information presented in this paper will allow for increased performance and first pass success with reduced learning cycles and cost of development.

Conflict of Interests

The authors declare that there is no conflict of interests regarding the publication of this paper.