Abstract

Directed self-assembly (DSA) of block copolymer (BCP) holds great promise for many applications in nanolithography, including the next generation magnetic recording. In this work, directed self-assembly of block copolymer technique has been combined with rotary stage electron beam mastering to fabricate a circular full track nanoimprint template for bit patterned media (BPM) fabrication. In order to meet specific requirements in pattern structure and format between the data and the servo zone in a servo-integrated template, three types of lithographically defined prepatterns, (1) two-dimensional chemical pre-pattern, (2) two-dimensional low-topographic pre-pattern, and (3) one-dimensional high-topographic pre-pattern, have been explored for DSA process with two types of commercially available BCP thin film materials: cylinder-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) and sphere-forming poly(styrene-b-dimethylsiloxane) (PS-b-PDMS). All guided BCP patterns exhibit highly ordered hexagonal close-packed (hcp) structures with high pattern quality. Using these BCP patterns, two polarities of dots-array templates (hole-tone and pillar-tone) with integrated servo patterns have been fabricated on a fused silica substrate at a density greater than 1.0 Td/in2. Furthermore, the fabricated master template has been used for UV-cure nanoimprint lithography process development on 2.5 inch disk size media. Good pattern uniformity in imprint resist has been achieved over an entire 2.4 mm wide band area. The imprint resist patterns have been further transferred into underlying CoCrPt media by ion beam etching. Evidently, for the first time, the patterned CoCrPt alloy dots (hcp pattern) have successfully been demonstrated at a high density of  1.5 Td/in2 (  nm) for a guided media and 3.2 Td/in2 (  nm) for an unguided media .

1. Introduction

An ever-increasing demand for higher capacity information storage is forcing the magnetic storage industry to develop alternative technologies to the currently adopted perpendicular magnetic recording. One of the leading technological candidates for extending current perpendicular magnetic recording beyond 1 Teradot per square inch (Td/in2) is the bit patterned media (BPM) technology, in which magnetic nanoarrays are fabricated and each “island” in the array forms a separate recording bit at a sub-25 nm length scale [13]. Obviously, BPM applications present extreme challenges to today’s lithography capability because of the small feature size and the tight spacing tolerance requirements. Electron beam direct writing combined with nanoimprint lithography is currently considered to be the only choice for this application [2, 4, 5]. Although high-resolution BPM dots-array patterning up to ~4.5 Td/in2 has been previously demonstrated using direct e-beam writing [6, 7], it still remains very challenging to achieve a defect-free dense dots-array pattern over a full disk size area by only using the conventional e-beam lithography approach even for a master template fabrication. One of the practical issues we faced is the extremely long writing time associated with the e-beam series writing scheme, and the nonchemically amplified resist (CAR) process makes the problem even worse. For instance, using ZEP520 e-beam resist, it would take more than a week to write a full 2.5 inch master template at a density of 1.0 Td/in2. This writing time is significantly longer than a viable commercial process can tolerate for manufacture. Therefore, a practical lithographical solution is urgently needed for BPM applications in hard disk drive industry. One recently proposed approach to solve this issue is the directed polymer self-assembly, which combines top-down advanced lithography with bottom-up self-assembling block copolymer (BCP) materials [813]. Self-assembling BCP materials can readily form highly ordered periodic patterns with a variety of morphologies, such as spheres, cylinders, and lamellae at a length scale of 5–50 nm.

In patterned media fabrication, the e-beam direct writing is employed to create a prepattern at a lowdensity, followed by directed self-assembly (DSA) process to improve the pattern quality and the resolution via BCP density multiplication. Then, a nanoimprint master template is fabricated through traditional etch process. After achieving a “perfect” high-resolution master template, the nanoimprint lithography is applied to the disk level patterning at a high throughput. After that, the imprint resist pattern is then transferred into a magnetic thin film layer by using either a subtractive process or an additive process, thus forming periodic arrays of isolated magnetic islands on disk. In addition to the requirements for very precise imprinting and pattern transfer, the high throughput and the manageable costs are both critical for BPM technology to be of value for manufacturing.

In this paper, we focus our study on high-density patterning for fabrication of BPM master templates. We will demonstrate several DSA approaches in regard to BPM master template fabrication. To meet the specific requirements in pattern structure and format between the data zone and the servo zone, we have investigated three types of lithographically defined prepatterns for DSA process: (1) two-dimensional (2D) chemical prepattern; (2) 2D low-topographic prepattern; (3) one-dimensional (1D) high-topographic prepattern. In regards to BCP materials, two types of commercially available BCP thin films with two different morphologies will be explored. One is the cylinder-forming poly(styrene-b-methylmethacrylate) (PS-b-PMMA) with from 24.0 nm to 28.6 nm ( is polymer bulk equilibrium period) (see Table 1), and another is the sphere-forming poly(styrene-b-dimethylsiloxane) (PS-b-PDMS) with from 12.2 nm to 24.0 nm (see Table 2). PS-b-PDMS has a high Flory-Huggins interaction parameter ( ) value of 0.26 than that of PS-b-PMMA of 0.06. The advantages of high χ value material enable process development at a density much higher than 1.0 Td/in2. As shown in Table 2, PS-b-PDMS can potentially reach a high density up to approximately 5.0 Td/in2. In this paper, we have demonstrated several key BPM challenging processes based on DAS approach, including the following: (1) fabricating two polarities of BCP dots-array fused silica templates (hole-tone and pillar-tone) with servo features integrated; (2) developing the UV-cure nanoimprint lithography process on standard 2.5 inch disk size media; (3) developing the pattern transfer process to form the nanoscale magnetic dots array. Evidently, for the first time, the patterned CoCrPt alloy dots have successfully been demonstrated at a high density of 1.5 Td/in2 for a guided media, and 3.2 Td/in2 for an unguided media. Some lithography challenges associated with BPM fabrication will be discussed.

2. Experimental Procedure

2.1. Materials

The 150 mm (6 inch) diameter silicon or fused silica wafers were purchased from Shin-Etsu Chemical Co. and used as substrates for all DSA process development and template fabrication. All BCP materials were purchased from Polymer Source Inc. Two types of BCP thin films with different molecular weights were used in our DSA experiments: cylinder-forming PS-b-PMMA (see Table 1) and sphere-forming PS-b-PDMS (see Table 2). The homopolymer polystyrene (PS) has a of 3.7 kg/mol ( is number-average molar mass) with a polydispersity (PDI) of 1.09. In order to get BCP pattern with a special period number, two BCPs with different molecular weights are mixed at a certain weight ratio. For example, to get a BCP pattern with = 27.0 nm, which is corresponding to 1.0 Td/in2 in pattern density, two powders of PS-b-PMMA ((37.0-b-16.8) and (28.6-b-12.2)) were mixed at a ratio of 7 : 3 (wt./wt.). All BCP patterns used here exhibit hexagonal close-packed (hcp) lattice structures. The mono-hydroxyl-terminated polystyrene (PS-OH) with a of 3.7 kg was used as brush layer for modifying the substrate for better DSA. Imprint resist was purchased from Molecular Imprints Inc. The ZEP520 e-beam resist was purchased from Nippon Zeon. All other chemicals were purchased from Sigma-Aldrich.

2.2. Sample Preparation

The following is a description of the DSA process. (1) Preparation of fused silica wafers with the polystyrene brush treatment: the substrates were pre-cleaned using O2 plasma ashing at 100°C for 2 minutes, spin-coated with PS-OH brush, and then annealed at 170°C for 6–8 hr under vacuum. The wafers were then soaked in toluene for 20 minutes, rinsed with isopropanol (IPA), and blow dried with N2. The grafted PS-OH brush layer thickness was about 3 nm. (2) E-beam patterning: the exposure was performed using a rotary EBW system (Elionix) operating at 100 keV. A 40 nm thick ZEP520 resist was applied on wafers and baked at 180°C for 10 minutes. Then, it was e-beam exposed to write designed prepatterns for DSA. The wafer was developed for 15–20 seconds using ZED-N50 developer and then rinsed with deionized (DI) water for 30 seconds. (3) Surface treatment and resist strip: in order to remove ZEP520 residue and also breakthrough the PS brush layer in the patterned holes, the patterned surface was etched by O2 plasma etch for 3–5 seconds using Oxford Plasmalab 100 system. A chemical contrast dots-array pattern ( exposed dot areas surrounded by a PS brush matrix) was formed on the substrate after that the ZEP520 resist was stripped by soaking in EBR (2-(1-methoxy)propyl acetate (PGMEA) solution) at 60°C for about 20 minutes, rinsed with EBR, and then blown dry with N2. (4) Preparation of the BCP thin film: PS-b-PMMA or PS-b-PDMS thin films were spin coated with a thickness of (1.2–1.5) and thermally annealed in a vacuum oven at a temperature range of 160–170°C for 12–18 hours to reach their equilibrium state. For PS-b-PMMA films, the PMMA blocks were removed by flood exposing the wafer to deep ultraviolet (DUV) for 10 minutes then soaking in acetic acid for 1 min. The PS-b-PDMS film was first treated by CF4RIE for 7 sec and then the PS blocks were removed by O2 RIE for 20–50 sec. Magnetic materials were etched using ion beam etching (IBE).

2.3. Characterization

The resist and BCP film thickness were determined using NanoSpec 6100 system from Nanometrics. Scanning electron microscopy (SEM) characterization was conducted using Raith 150 system operating at 10 keV. A 3 nm thick Cr layer was sputtered (ATC 2200 from AJA International, Inc.) on fused silica wafers to minimize the charging effect. Magnetic loop was measured by an in-house magnetometer. AFM measurements were performed in the tapping mode with NanoScope D3100 system from Veeco Digital Instruments. 2D Fourier transforms were obtained from SEM images using FTL-SE software.

3. Results and Discussion

3.1. BPM Patterning Strategy with DSA

As mentioned in Section 1, BPM fabrication requires more aggressive lithography targets than the semiconductor industry, and the latter will not provide a lithography solution in time for BPM application based on the current International Technology Roadmap for Semiconductors (ITRS 2013). Most likely, the proposed DSA approach is the only viable solution for high-density BPM patterning. Figure 1 shows a schematic illustration of lithography approach for high-density BPM master template fabrication by combining rotary stage e-beam lithography with a bottom-up DSA process. Rotary stage e-beam lithography technique is first used to create a prepatterned surface, such as a chemically patterned surface or a topographically patterned surface, which will manipulate the wetting behavior of BCP thin films and guide BCP into self-assembled domains with different morphologies, such as spheres, cylinders, or lamellar lines. The topographically patterned surface can be a 2D low-topographic pattern, such as small pillars or holes array, or can be a 1D high-topographic pattern, such as 1D groove pattern. All prepatterns can be created by today’s advanced lithography techniques, such as e-beam lithography, 193 nm lithography, imprint lithography, or EUV lithography. All previous studies from several groups including ours [1420] have clearly demonstrated that the DSA process can not only improve the e-beam pattern quality significantly but also can reduce the pattern pitch by a factor of at least 2, thus reducing the e-beam writing time by a factor of 4. Because of these advantages over the conventional e-beam lithography, the DSA approach can possibly make patterning process available for BPM manufacturing.

The pattern density in a master template defines the areal density in patterned media. Among many processing steps involved in BPM fabrication, fabricating a nearly defect-free high-density master template is the first most difficult step. A BPM master template consists of two different pattern regions: one is the data zone used for storing data, and another is the servo zone that is used to describe the data in the data zone. The servo zone contains information such as head position, timing, and track-following information for a respective data zone. Individually, for the data zone and the servo zone, there are two separate requirements in regard to the pattern structure and format. For instance, the data zone requires a globally addressable all-dots array, whereas the servo zone requires a locally aligned segment dots array. To fabricate a servo-integrated BPM template with BCP patterns, we have investigated several DSA approaches with different prepatterns schemes. We found that either a 2D chemical or low-topographic prepattern can be used for DSA in the data zone. On the other hand, a 1D groove prepattern is more likely to be suitable for DSA in the servo zone due to its special layout requirements.

3.2. Rotary Stage Electron Beam Mastering for Defining DSA Prepatterns

A highly accurate rotary stage e-beam mastering tool is needed to generate concentric circular track patterns for disk template fabrication. Different from the X-Y stage e-beam system, the rotary stage e-beam system uses a track-by-track writing approach. Initially, the beam is focused on the disk to be written and then the stage would move to the starting point where the patterning begins. At this point the beam is blanked on and off to write the patterns while the stage rotates in a circular track direction. When the beam completes writing the first circular track it will be shifted by the predetermined track pitch and the process starts over until this track is completed and so on until the beam has reached its full deflection. Then the stage will move in a radial direction so the process can continue as previously described. This process continues until a full area of the disk is written with patterns. As an example, Figure 2(a) shows a SEM image of ZEP520 resist pattern with a portion of the patterned several 100 μm wide circular full tracks created by a rotary stage e-beam system. Figure 2(b) shows a SEM image of the resist pattern zoomed from one of the patterned tracks. The resist pattern exhibits an hcp pattern with a pattern pitch of 30.5 nm (0.8 Td/in2). Figure 2(c) shows a SEM image of 6 nm thick Cr dots fabricated after a Cr lift-off process from the resist pattern shown in Figure 2(b). With the current rotary e-beam system, an hcp dots-array pattern at a density of 0.8–1.0 Td/in2 can be routinely achieved using ZEP 520 e-beam resist. This implies that we would be able to demonstrate BCP pattern at a density of 3.2–4.0 Td/in2 at least, if a density multiplication factor of four is applied (BCP halving the e-beam resist pattern pitch). In order to meet the patterned media needs, the further tool development should be focused on the continual improvement in resolution (beyond the current 1.0 Td/in2) and the overlay alignment capability. Overlay alignment accuracy in a rotary e-beam system is critical for servo pattern integration.

3.3. DSA of Cylinder-Forming BCP Using 2D Chemical Prepatterns

Figure 3(a) shows a schematic representation of directed self-assembly of cylinder-forming PS-b-PMMA thin films by a 2D chemical prepattern. The density multiplication factor is four in the scheme. The 2D chemical contrast in prepattern is created by transferring a positive-tone resist pattern to the underlying PS-OH brush layer and forming the prepatterned dots array consisting of the exposed surfaces surrounded by PS-OH brush. The surface pattern pitch in a 2D chemical prepattern is defined by e-beam lithography at a relatively low density. This way ensures that prepattern has a defect density as low as possible for the subsequent DSA process. As DSA result, Figure 3(b) shows a large-scale SEM image of PS-b-PMMA BCP pattern with a pitch = 27.0 nm ( is defined as the final pattern pitch on a substrate), resulting from a chemical prepattern with a pitch = 54.0 nm. The upper side in Figure 3(b) clearly shows the 1.0 Td/in2 dense BCP dots pattern (hcp) with good registration aligned to underneath surface prepatterns and with perfect long-range ordering over the entire prepatterned area; however, the lower side in Figure 3(b) shows some random BCP domains in the nonpatterned area. The dark dense dots on the prepatterned area are cylindrical pores, which are standing upright with the PMMA block removed and the only PS block matrix remaining. To the contrary, the cylindrical PMMA block domains on the non-patterned area are lying down forming random domain structures in the BCP films. Since the data zone requires the globally addressable dot arrays, a 2D chemical prepattern can realize such criteria well and is more suitable for DSA process in the data zone.

3.4. DSA of Sphere-Forming BCP Using 2D Low-Topographic Prepatterns

As a different approach example from the 2D chemical prepattern, Figure 4(a) shows a schematic representation of directed self-assembly of sphere-forming PS-b-PDMS thin films by a 2D low-topographic holes array resist prepattern with . In our experiments, the low-topographic prepattern is a 2-3 nm thick cross-linkable resist holes pattern that is created by one-step UV-cure imprint lithography process. Being able to quickly use a large amount of imprint samples for the DSA, the turnaround time for DSA process optimization has been reduced quite significantly, therefore speeding up the template fabrication process. After an imprint process, the resist thickness needs to be further reduced to a thickness range of 2-3 nm using O2 RIE. The trimmed resist pattern is then modified with PS-OH brush for a better BCP wetting. Figure 4(b) shows a large-scale SEM image of an imprint resist pattern (hcp) with an . The two inserted SEM images in Figure 4(b) show that after O2 RIE trim the resist thickness decreases from the initial thickness of approximately 30 nm (left side image with a higher contrast) down to 2-3 nm (right side image with a lower contrast). The resist thickness of 2-3 nm has been confirmed by our AFM height measurement (see the inserted AFM image in Figure 4(b)). The reason why a thin 2-3 nm thickness is preferred to be used for DSA is for the purpose of pattern transfer convenience. In our experiments, it was found that a prepattern with a thickness >5 nm could cause a poor dot position sigma during pattern transfer for spherical BCPs. The corresponding DSA result from the prepattern shown in Figure 4(b) is presented in Figure 4(c), a large-scale SEM image of well-aligned PS-b-PDMS BCP pattern with an (1.5 Td/in2, ). The bright dense dots in SEM image are PDMS spheres after the PS block removed by O2 plasma etch. It is clearly seen here that all PDMS spheres align to underneath resist holes-array pattern very well and the BCP pattern density increases by 4 times. In our experiments, the nearly perfect BCP pattern is routinely obtained over the entire prepatterned area. Because the data zone requires a globally addressable dots-array pattern layout, the 2D low-topographic prepattern is also suitable for DSA process in the data zone.

It has been realized that PS-b-PDMS has a higher Flory-Huggins interaction parameter χ value of 0.26 than that of PS-b-PMMA of 0.06. With the commercially available cylinder-forming PS-b-PMMA material, the highest BCP density with low molecular weight is about 1.3 Td/in2. However, the high χ value PS-b-PDMS BCP material allows us to conduct the process development for densities beyond 1.0 Td/in2. As shown in Figure 5, we have demonstrated the aligned spherical PDMS BCP pattern at various densities up to 3.2 Td/in2, and an unguided PDMS pattern at 5.0 Td/in2. Figure 6 shows a series of SEM images of 3.2 Td/in2 guided spherical PDMS BCP patterns ( ) at different magnifications. The 3.2 Td/in2 BCP pattern is guided by a shallow cross-linkable imprint resist holes-array pattern at a relatively low density of 0.8 Td/in2 ( ). All high-density PDMS patterns demonstrated in Figure 6 were done by thermal annealing process. More process development on 5.0 Td/in2 samples is still ongoing by solvent annealing process. Besides a high χ value in PS-b-PDMS, the PDMS block can serve as a robust etch mask due to the high silicon content within BCP. This advantage has been fully used in the template pattern transfer process.

3.5. DSA of Sphere-Forming BCP Using 1D High-Topographic Prepatterns

Besides the 2D low-topographic prepattern, 1D high-topographic prepattern, such as 1D groove pattern, is also frequently used as DSA guiding prepattern. In the latter case, the groove sidewall serves as topographic confinements for BCP self-assembly. Figure 7(a) shows a schematic representation of a DSA process that employs a high-topographic groove pattern to guide both cylinder-forming BCP (upper one) and sphere-forming BCP (lower one). The groove width should be defined as close as possible to a desire width of ( is the row number of BCP dots and is the approximate diameter of BCP dots), so it can exactly commensurate with the integral row numbers of BCP dots. All SEM images from Figures 7(b) to 7(g) show the groove-guided DSA results with two types of BCP thin films at three different densities. Two types of groove patterns, chevron and stripe, were used in our experiments because they are the mostly used servo patterns in servo zone. The groove patterns were created by imprint lithography and the groove height varies with a range from 20 nm to 35 nm. Figures 7(b) and 7(c) are the groove-guided cylinder-forming PS-b-PMMA BCP pattern with an (1.0 Td/in2), whereas Figures 7(d) and 7(e) are the groove-guided sphere-forming PS-b-PDMS pattern with an (1.5 Td/in2). As a high density demonstration using 1D groove approach, Figures 7(f) and 7(g) are the groove-guided sphere-forming PS-b-PDMS BCP pattern with an (3.2 Td/in2). All BCP patterns (either cylinders or spheres) have the integral row numbers from 3 to 7 within the groove, and they are all well aligned to groove sidewalls, as long as the certain measurability conditions are satisfied. Some extra amounts of BCP films sitting outside of grooves can be readily removed by O2 RIE. The 1D groove-guided DSA method is the most likely route to performing DSA in the servo zone, because the servo zone requires the locally aligned segment dot arrays, and these servo arrays can be easily distinguished from a non-patterned area. This idea has been fully implemented in our servo-integrated template fabrication.

3.6. Fabrication of Hole-Tone and Pillar-Tone Dots-Array Nanoimprint Templates Using Cylinder-Forming BCP

It is more important to explore the pattern transfer process for fabricating a high-density master template using these highly ordered BCP dot arrays. For PS-b-PMMA BCP films, the PS pore matrix has much better etch resistance than that of e-beam resist such as ZEP520 due to the cross-link of the PS block during DUV flood exposure; therefore, the PS pore matrix can serve as a soft etch mask to transfer BCP pattern into underlying fused silica substrate by CHF3 ion beam etching. A hole-tone dots-array template is then formed after a wet process is applied to clean the fused silica substrate. Figure 8(a) shows a schematic representation of fabricating a hole-tone dots-array fused silica template using cylinder-forming PS-b-PMMA BCP pattern. The key step in this process is the fused silica etches using CHF3 ion beam etching. Figure 8(b) shows a large-scale SEM image of a hole-tone dots-array template at a density of 1.0 Td/in2 ( ). Figure 8(c) shows a fabricated 6 inch dots-array fused silica template. A magnified top-down SEM image with a cross-sectional profile image is shown in Figures 8(d) and 8(e), respectively. It can be clearly seen that the sidewall is still vertical at such high density, and the etch depth is about 30 nm. As seen in the large-scale SEM image of Figure 8(b), the pattern quality in fused silica template is nearly defect-free over a large area.

Once a hole-tone dots-array template is fabricated, an opposite tone, pillar-tone, dots-array template can be fabricated by applying the additional so-called reverse tone (RT) process, shown in Figure 9(a). This process is as follows: starting from a hole-tone dots-array template, it is then deposited with a thin Cr layer by evaporation and followed by a high-angle ion milling process to remove the top capping Cr layer only, whereas the Cr dots remaining inside the fused silica holes are saved as a hard etch mask for the subsequent fused silica deep CF4 RIE until a pillar-tone dots-array template is formed on the substrate, as shown in Figure 9(c). After the fused silica etch, the remaining Cr residual is removed by wet process using Cr remover. The etch depth can vary with the CF4 etch time. It should be mentioned that the process flow shown in Figure 9(a) is just an example for pattern transfer demonstration. In fact, there are many different ways to fabricate a pillar-tone dots-array template, and it is not necessary to go through a hole-tone template fabrication process first. In order to make a pillar-tone dots-array template, a Cr lift-off process (either wet or dry) is simply used right after the imprint process. Then, the Cr dots as hard mask are used to etch into fused silica substrate to from a pillar-tone dots template by CF4 RIE. Figure 9(b) shows a large-scale SEM image of a pillar-tone dots-array template at 1.0 Td/in2. Figure 9(c) shows a cross-sectional SEM image with a tilted view showing that the etched pillar height is about 25 nm. Our AFM height measurement has confirmed about that the template top height variation is controlled within a range of 2-3 nm. Again, a high quality pillar-tone dots-array template is seen here. Figure 9(d) shows a tilted SEM image of a patterned nanoscale “Seagate” logo at a density of 1.0 Td/in2.

3.7. Fabrication of Servo-Integrated Templates Using Cylinder-Forming BCP

In order to fabricate a servo-integrated template with BCP patterns, both the data zone and the servo zone need to perform DSA process. Due to the different pattern designs for these two zones, the guiding prepatterns can be different. As mentioned early in this work, the 2D dots-array prepattern approach, either a chemical or a low-topographic prepattern, can be used for DSA in the data zone, whereas the 1D groove prepattern approach is more suitable for DSA in the servo zone. Figure 10(a) shows a schematic representation of fabricating a servo-integrated template using two types of prepatterns for DSA. All patterns in these two zones are BCP dots-array features. DSA in the data zone is guided by a 2D prepattern, whereas DSA in the servo zone is guided by a 1D groove pattern. We have fabricated such a servo-integrated template based on this scheme. Figure 10(b) shows a candela optical image of imprint resist patterns on a 2.5 inch disk using a fabricated servo-integrated template. The servo-integrated template has a 2.4 mm wide circular full track. The patterned circular band contains both the data zone and servo zone at a density of 1.0 Td/in2. The 2.4 mm wide band in the template was divided into three sub zones using zoning strategy to accommodate the pitch variation restriction in the BCP patterns. Each sub zone has a band width of 800 μm. The surface prepattern pitch from each sub-zone is reset to to match the BCP natural pitch exactly. Therefore, the BCP commensurability (either stretching or compressing the polymer chains) is controlled within their dimensional restriction of ±4% range in each sub-zone [19]. Under this restriction, the BCP can still follow the underlying surface prepattern well to form defect-free pattern with good long-range ordering. The SEM images from the Figure 10(c) to Figure 10(f) show a fabricated 1 Td/in2 servo-integrated pillar-tone fused silica template at various processing stages: BCP pattern (Figure 10(c)), Cr dots as hard mask (Figure 10(d)), etched fused silica pillars in the data zone (Figure 10(e)), and in the servo zone (Figure 10(f)) with several servo patterns in the servo zone. The image analyses for evaluating the size variation and the position accuracy were also performed in each processing step to monitor the process. The sigma σ values for both the size variation and the placement accuracy are controlled within a range of 5%-6% in our final template qualification. The defect density observed in the template is on the order of 10−3 over the entire data and servo zone areas. The high quality seen in a fabricated BPM template is a direct result of the advantages of DSA process as opposed to the conventional e-beam lithography process.

3.8. UV-Cure Nanoimprint Lithography on 2.5 Inch Disk Size Media

Furthermore, the two polarities of fused silica templates are used to conduct UV-cure nanoimprint experiments. The nanoimprint process was performed on a standard 2.5 inch disk using an Imprio 1100 imprint tool. The imprinted resist thickness ranges from 20 nm to 50 nm, depending on the pattern density and the feature height in imprint template. As a reminder here, a pillar-tone dots-array template is used to form a holes-array resist pattern, whereas a hole-tone dots-array template is used to form a pillars-array resist pattern. A pillars-array pattern can also be produced by a pillar-tone dots-array template if an additional reverse tone process is applied, as it has been demonstrated in our previous work [21]. Therefore, to produce a pillar resist pattern by a hole-tone dots-array template is preferred over a pillar-tone dots-array template in terms of the imprint process simplicity, but in general the hole-tone dots-array template is more difficult to fabricate at a high density. It should be also mentioned that which polarity of template is used for patterned media fabrication depends on the subsequent magnetic dot formation process, which can be either an additive process (such as plating or deposition) or a subtractive process (such as etching or milling). In our recent experiments, a pillar-tone dots-array template is mostly used to create a hole-tone dots-array resist pattern for patterned media fabrication. The experimental results of imprint samples are presented in Figure 11. Figure 11(a) shows a large-scale SEM image of imprint resist holes-array pattern from the data zone area at 1.0 Td/in2. The imprint template used here is a 1.0 Td/in2 pillar-tone dots-array template fabricated using cylinder-forming PS-b-PMMA BCP thin films. The insets in Figure 11(a) are a magnified SEM image and an AFM image both captured from the data zone area. Figure 11(b) shows an AFM image of some imprint servo features in the servo zone with a cross-sectional AFM measurement (Figure 11(c)) that indicates that the imprint thickness is about 30 nm (shown by the red arrows). The AFM tip cannot reach the bottom of the imprint individual hole, due to the small hole diameter (shown by the green arrows). The large-scale SEM image reveals that imprint resist pattern has a good uniformity over a large data zone area.

3.9. Fabrication of Patterned Guided CoCrPt Alloy Media at 1.5 Td/in2 and Unguided Media at 3.2 Td/in2

To continue exploring the usefulness of the imprint resist patterns for patterned media fabrication, the imprint disks are further processed to form isolated magnetic islands. Figure 12(a) shows a SEM image of a 1.5 Td/in2 ( ) dots-array template fabricated using sphere-forming PS-b-PDMS BCP patterns. The cross-sectional SEM image of this template is presented in Figure 12(b), showing the vertical sidewall with an etch depth of about 33 nm. After imprint step on a disk, a reverse tone process is applied to convert the initial imprint resist holes pattern into silicon oxide pillars pattern using HSQ spin coating first and then etch back by CF4 RIE. Figure 12(c) shows an etched 1.5 Td/in2 CoCrPt alloy dots array formed by argon ion beam etching and with a 16 nm thick carbon layer as the etch mask. The magnetic loop with high coercivity of approximate 7 kOe is shown in Figure 12(d). The final pattern transfer success of forming patterned magnetic media on a disk validates the functionality of the nanoimprint master template fabricated with the DSA process. As a high density quick demonstration over 1.5 Td/in2, we have used an unguided 3.2 Td/in2 PDMS BCP pattern directly as soft etch mask and transferred the PDMS patterns into underlying a thin Cr layer using Cl2 RIE. Then, Cr dots are etched into a thin carbon layer to form carbon dots using O2 RIE. Finally, CoCrPt alloy media are etched using argon ion beam etching. Figure 13 shows SEM images of various 3.2 Td/in2 unguided dots patterns, including PDMS BCP dots (Figure 13(a)), Cr dots (Figure 13(b)), carbon dots (Figure 13(c)), and CoCrPt alloy dots (Figure 13(d)). As shown in Figure 12(e), the patterned 3.2 Td/in2 CoCrPt dots have the coercivity of approximate 5 kOe.

4. Conclusions

In summary, several prepattern approaches for DSA have been explored for fabrication of the high-density BPM master template. The circular full track master template has been successfully fabricated at a density of 1.5 Td/in2 by combining rotary stage e-beam mastering with DSA process. To fabricate a servo-integrated hexagonal bits template, a 2D dots-array prepattern is used for DSA in the data zone, whereas a 1D groove prepattern is used for DSA in the servo zone. Several challenging key processes have been demonstrated, including the master template fabrication, UV-cure nanoimprint lithography on a disk media, and magnetic dots formation process. For the first time, the patterned CoCrPt alloy dots have been successfully demonstrated at a density of 1.5 Td/in2 for a guided media and 3.2 Td/in2 for an unguided media. It should be noted that although a significant progress has been made in the current work, many lithography challenges still remain, principally in skew limitation (hcp-based patterns), in density extensibility, and size variation control. Some possible solutions, such as new BCP materials for density further extensibility, atomic layer deposition (ALD) process for pattern transfer, and patterned rectangular media with lamellae-forming BCP for no skew limit, are currently under thorough investigation.

Acknowledgments

The authors would like to thank many colleagues at Seagate Media Research for their experimental assistance and helpful discussions, including Nobuo Kurataka, Justin Hwu, Yuan Xu, HongYing Wang, Zhaoning Yu, Gene Gauzner, and Koichi Wago.