Research Article

A Streaming High-Throughput Linear Sorter System with Contention Buffering

Table 4

Reduced average latency for interleaved linear sorter of width .

Number of linear sorters Clock cycles Speedup %

1 1.000 0%
2 1.344 12%
4 1.595 33%
8 1.752 45%
16 2.254 57%