Research Article

Fast and Near-Optimal Timing-Driven Cell Sizing under Cell Area and Leakage Power Constraints Using a Simplified Discrete Network Flow Algorithm

Table 5

Results of our DNF method and the approximate DP method of [3] for the ISPD 2012 cell-sizing benchmark suite. The “% Delay Impr.” column shows the percentage difference of the delay between ours and the Approx. DP method (positive value indicates our method is better, negative indicates that the Approx. DP method is better).

Ckt Number of of cells Power Con-str. (w) DNFAprrox. DP
Delay (ps) Power (w) Run time (h) % Delay Impr. Delay (ps) Power (w) Run time (h)

DMA 25 k 1.2 770 1.2 1.3 −0.7 765 1.2 2.8
pci_br32 33 k 0.9 676 0.8 2.0 −0.9 670 0.9 3.5
des_perf 111 k 7 795 7 7.1 −0.9 788 7 12.4
vga_lcd 165 k 0.7 673 0.7 5.6 0.6 677 0.7 14
b19 219 k 2.3 2126 2.3 10 −2 2084 2.3 19
leon 649 k 2.1 1632 2.1 22.2 −0.9 1616 2.1 48.4
Netcard 959 k 2.5 2218 2.5 27.2 −1.4 2186 2.5 58

Average10.7 −0.9 22.6