Research Article

On-Chip Power Minimization Using Serialization-Widening with Frequent Value Encoding

Figure 13

Comparison of (a) % of absolute power savings using different L1 cache sizes for a 64-bit wide data bus using serialization-widening with frequent value encoding and (b) % of relative power savings using a 64-bit wide bus compared to a 32-bit wide bus (both of the bus used serialization-widening with frequent value encoding).
801241.fig.0013a
(a)
801241.fig.0013b
(b)