Research Article

On-Chip Power Minimization Using Serialization-Widening with Frequent Value Encoding

Figure 17

(a) Comparison of absolute power consumption of SWE approach (64 bit wide data bus) and WE approach (32-bit wide data bus) and (b) % of performance loss of using 32-bit wide data bus instead of 64-bit wide data bus.
801241.fig.0017a
(a)
801241.fig.0017b
(b)