Research Article

AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Figure 3

Architecture of the different switches used in the AsyncBTree. (a) Type-1 switch used with the leaf nodes (PEs), with complete crossbar switch and asynchronous FIFOs with receive and transmit interfaces. (b) Type-2 switch used in intermediate nodes with partial crossbar switch and synchronous FIFOs. (c) Type-3 switches used in intermediate switches with partial crossbar and asynchronous FIFOs with receive and transmit interfaces.
(a)
(b)
(c)