Research Article

AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Figure 8

Throughput of different NoC architectures with varying size corresponding to different traffic patterns when all of them are clocked at the maximum supported clock frequency.
(a) Random
(b) Tornado
(c) Reverse