Review Article

From FPGA to Support Cloud to Cloud of FPGA: State of the Art

Table 6

Summary of the FPGA cloud services.

FPGA tools as a serviceFPGA platforms as a serviceFPGA resources as a service

ApplicationIndustrialIndustrial/AcademicIndustrial/Academic

Cloud model supportSaaSPaaSIaaS

Cloud methodDematerialization of FPGA toolsDematerialization of FPGAVirtualization of FPGA resources

Related works[5254, 57][59, 6164, 66][6973, 75, 76, 79]

Solved challenges1C2, C3C3C3

Solved FPGA difficulties(i) FPGA tools selection (D3)
(ii) License software (D4)
(iii) Tools parameters (D5)
(iv) Timing closure (D6)
(v) FPGA device selection (D7)
(i) FPGA platform selection (D8)
(ii) FPGA device selection (D7)
(i) FPGA platform selection (D8)
(ii) FPGA device selection (D7)

Open issues(i) Lack of FPGA platforms, where hardware designers must buy FPGA platform (D8)
(ii) Hardware designers must develop and integrate their own IPs locally (D1, D2)
(i) Application designers should define a fixed limited time when they use the platform
(ii) Feasibility of using a set of FPGA on a sharing time with a low number of application designers
(iii) Hardware designers must develop and integrate their own IPs locally (D1, D2)
(iv) Hardware designers should setup FPGA tools locally to simulate and implement their designs (D3, D4, D5)
(v) The hardware designer must achieve the timing closure (D6)
(i) Hardware designers must develop and integrate their own IPs locally (D1, D2)
(ii) Hardware designers should setup FPGA tools locally to simulate and implement their designs (D3, D4, D5)
(iii) The hardware designer must achieve the timing closure (D6)

1Solved challenges with that the challenge is partially solved.